Patents for H01L 21 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof (658,974) |
---|
10/02/2001 | US6297110 Method of forming a contact in an integrated circuit |
10/02/2001 | US6297109 Method to form shallow junction transistors while eliminating shorts due to junction spiking |
10/02/2001 | US6297108 Method of forming a high voltage MOS transistor on a semiconductor wafer |
10/02/2001 | US6297107 High dielectric constant materials as gate dielectrics |
10/02/2001 | US6297106 Transistors with low overlap capacitance |
10/02/2001 | US6297105 Method of forming asymmetric source/drain for a DRAM cell |
10/02/2001 | US6297104 Methods to produce asymmetric MOSFET devices |
10/02/2001 | US6297103 Structure and method for dual gate oxide thicknesses |
10/02/2001 | US6297102 Method of forming a surface implant region on a ROM cell using a PLDD implant |
10/02/2001 | US6297101 Method for producing an MOS transistor structure with elevated body conductivity |
10/02/2001 | US6297100 Method of manufacturing silicon carbide semiconductor device using active and inactive ion species |
10/02/2001 | US6297099 Method to free control tunneling oxide thickness on poly tip of flash |
10/02/2001 | US6297098 Tilt-angle ion implant to improve junction breakdown in flash memory application |
10/02/2001 | US6297097 Method for forming a semiconductor memory device with increased coupling ratio |
10/02/2001 | US6297096 NROM fabrication method |
10/02/2001 | US6297095 Memory device that includes passivated nanoclusters and method for manufacture |
10/02/2001 | US6297094 Semiconductor device with salicide structure and fabrication method thereof |
10/02/2001 | US6297093 Method of making an electrically programmable memory cell |
10/02/2001 | US6297092 Forming a transistors barrier layer, siliconized nitride layer and oxidized layer |
10/02/2001 | US6297091 Method for fabricating contact pad for semiconductor device |
10/02/2001 | US6297090 Method for fabricating a high-density semiconductor memory device |
10/02/2001 | US6297089 Method of forming buried straps in DRAMs |
10/02/2001 | US6297088 Method for forming a deep trench capacitor of a dram cell |
10/02/2001 | US6297087 Process for DRAM cell production |
10/02/2001 | US6297086 Application of excimer laser anneal to DRAM processing |
10/02/2001 | US6297085 Method for manufacturing ferroelectric capacitor and method for manufacturing ferroelectric memory |
10/02/2001 | US6297084 Method for fabricating semiconductor memory |
10/02/2001 | US6297083 Method of forming four transistor SRAM cell having a resistor |
10/02/2001 | US6297082 Method of fabricating a MOS transistor with local channel ion implantation regions |
10/02/2001 | US6297080 Method of crystallizing a silicon film and a method of manufacturing a liquid crystal display apparatus |
10/02/2001 | US6297079 Method of electrically connecting IGBT transistor chips mounted on an integrated-circuit wafer |
10/02/2001 | US6297078 Integrated circuit package with bond wires at the corners of an integrated circuit |
10/02/2001 | US6297076 Forming radiation curable adhesive layer on substrate film, joining acrylic adhesive copolymer, radiation polymerizable compound, cutting, radiation, removal and packaging |
10/02/2001 | US6297075 Method and apparatus for separating semiconductor elements, and mounting method of semiconductor elements |
10/02/2001 | US6297074 Film carrier tape and laminated multi-chip semiconductor device incorporating the same and method thereof |
10/02/2001 | US6297073 Semiconductor device |
10/02/2001 | US6297071 Method of making planar image sensor color filter arrays |
10/02/2001 | US6297067 Manufacture of field emission elements |
10/02/2001 | US6297065 Method to rework device with faulty metal stack layer |
10/02/2001 | US6297063 In-situ nano-interconnected circuit devices and method for making the same |
10/02/2001 | US6296990 Gas bearing and lithographic apparatus including such a bearing |
10/02/2001 | US6296988 Metal wiring pattern of semiconductor |
10/02/2001 | US6296985 Positive photoresist composition comprising a polysiloxane |
10/02/2001 | US6296984 Photoresists light sensitive layers on a substrate with chromophore of iodinium and sulfonium groups |
10/02/2001 | US6296976 Compensation of within-subfield linewidth variation in e-beam projection lithography |
10/02/2001 | US6296975 Photo mask of semiconductor device and method for manufacturing the same |
10/02/2001 | US6296925 Aperture for charged beam drawing machine and method for forming the same |
10/02/2001 | US6296906 Annealing process for low-k dielectric film |
10/02/2001 | US6296780 System and method for etching organic anti-reflective coating from a substrate |
10/02/2001 | US6296777 Structuring process |
10/02/2001 | US6296753 Immersing in plating bath in contact with adjustable anode; bending to change electrostatic field |
10/02/2001 | US6296743 Direct current sputtering; negatively biased target; positively biased anode; oxidation; plasma discharge |
10/02/2001 | US6296735 Plasma treatment apparatus and method for operation same |
10/02/2001 | US6296717 Regeneration of chemical mechanical polishing pads in-situ |
10/02/2001 | US6296716 Process for cleaning ceramic articles |
10/02/2001 | US6296715 Surface treatment of semiconductor substrates |
10/02/2001 | US6296714 Washing solution of semiconductor substrate and washing method using the same |
10/02/2001 | US6296712 Chemical vapor deposition hardware and process |
10/02/2001 | US6296710 Multi-port gas injector for a vertical furnace used in semiconductor processing |
10/02/2001 | US6296709 Temperature ramp for vertical diffusion furnace |
10/02/2001 | US6296700 Method of producing a structured layer |
10/02/2001 | US6296555 Wafer machining apparatus |
10/02/2001 | US6296551 Polishing apparatus and polishing method |
10/02/2001 | US6296548 Method and apparatus for optical monitoring in chemical mechanical polishing |
10/02/2001 | US6296434 Product supply unit with offset wheel axes for non-tilting horizontal product supports |
10/02/2001 | US6296255 Sealing mechanism for sealing a vacuum chamber |
10/02/2001 | US6296173 Method and apparatus for soldering ball grid array modules to substrates |
10/02/2001 | US6296048 Heat sink assembly |
10/02/2001 | US6295999 Vibrating rod-like probe close to flat surface to loosen particles; agitating with megasonic energy to clean semiconductors |
10/02/2001 | US6295998 Temperature controlled gassification of deionized water for megasonic cleaning of semiconductor wafers |
10/02/2001 | US6295730 Method and apparatus for forming metal contacts on a substrate |
10/02/2001 | US6295729 Angled flying lead wire bonding process |
10/02/2001 | US6295728 Printed circuit board assembly manufacturing system |
10/02/2001 | CA2109310C Blue-green laser diode |
10/02/2001 | CA2019310C Non-volatile ram bit cell |
09/30/2001 | CA2341333A1 Apparatus and method for maintaining a dry atmosphere to prevent moisture absorption and allow demoisturization of electronic components |
09/27/2001 | WO2001072097A2 Apparatus and method for mounting component |
09/27/2001 | WO2001072094A1 High speed photoresist stripping chamber |
09/27/2001 | WO2001072091A1 Method and apparatus for manufacturing organic el display |
09/27/2001 | WO2001071884A2 Integrated circuit having various operational modes |
09/27/2001 | WO2001071854A1 Electrical connection material and electrical connection method |
09/27/2001 | WO2001071824A1 Method and device for transferring spin-polarized charge carriers |
09/27/2001 | WO2001071818A1 Metal source and drain mos transistor, and method for making same |
09/27/2001 | WO2001071816A1 Ferroelectric fet with polycrystalline crystallographically oriented ferroelectric material |
09/27/2001 | WO2001071814A1 METHOD FOR PREPARING LOW-RESISTANT p-TYPE SrTiO¿3? |
09/27/2001 | WO2001071813A1 Multi color detector |
09/27/2001 | WO2001071812A1 Quantum dots infrared for optoelectronic devices |
09/27/2001 | WO2001071809A1 A die attachment surface having pedestals for receiving components and method of using the attachment |
09/27/2001 | WO2001071808A1 An integrated circuit architecture with standard blocks |
09/27/2001 | WO2001071807A1 Semiconductor device and method of manufacture thereof |
09/27/2001 | WO2001071805A1 Semiconductor device, method of manufacture thereof, circuit board, and electronic device |
09/27/2001 | WO2001071804A2 Lateral asymmetric lightly doped drain mosfet |
09/27/2001 | WO2001071803A1 A dual spacer process for non-volatile memory devices |
09/27/2001 | WO2001071802A2 Use of organic spin on materials as a stop-layer for local interconnect, contact and via layers |
09/27/2001 | WO2001071801A1 Semiconductor device and method of manufacturing same |
09/27/2001 | WO2001071800A2 Method for forming a silicide gate stack for use in a self-aligned contact etch |
09/27/2001 | WO2001071799A2 Semiconductor element and method for producing the same |
09/27/2001 | WO2001071798A1 Apparatus for sealing semiconductor device |
09/27/2001 | WO2001071797A1 Three dimensional device integration method and integrated device |
09/27/2001 | WO2001071796A2 Method for electrochemical polishing of a conductive material |