| Patents for H01L 21 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof (658,974) |
|---|
| 10/31/2002 | WO2002086913A1 Conductive organic thin film and production method therefor, electrode and electric cable using it |
| 10/31/2002 | WO2002086905A2 Ferroelectric memory and operating method therefor |
| 10/31/2002 | WO2002086904A2 Vertical transistor trench capacitor memory cell and method of making the same |
| 10/31/2002 | WO2002086723A2 Emulator with switching network connections |
| 10/31/2002 | WO2002086627A1 Prioritizing the application of resolution enhancement techniques |
| 10/31/2002 | WO2002086624A1 Composition for forming antireflection film for lithography |
| 10/31/2002 | WO2002086622A2 Ion-beam deposition process for manufacturing binary photomask blanks |
| 10/31/2002 | WO2002086621A2 Ion-beam deposition process for manufacturing multilayered attenuated phase shift photomask blanks |
| 10/31/2002 | WO2002086620A2 Ion-beam deposition process for manufacturing attenuated phase shift photomask blanks |
| 10/31/2002 | WO2002086580A2 Reflecting filter system in an illuminating device |
| 10/31/2002 | WO2002086202A1 Method for treating the surface of a semiconductor material |
| 10/31/2002 | WO2002086201A1 Semiconductor structures and devices utilizing perovskite stacks |
| 10/31/2002 | WO2002086192A1 Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases |
| 10/31/2002 | WO2002086189A1 Substituted cycloalkene new copper precursors for chemical vapor deposition of copper metal thin films |
| 10/31/2002 | WO2002086185A1 Penning discharge plasma source |
| 10/31/2002 | WO2002086174A1 Method and apparatus for treating a substrate with an ozone-solvent solution ii |
| 10/31/2002 | WO2002086045A1 Cleaning compositions |
| 10/31/2002 | WO2002085790A2 Gas dissolved water producing apparatus and method thereof for use in ultrasonic cleaning equipment |
| 10/31/2002 | WO2002085570A2 Conductive polishing article for electrochemical mechanical polishing |
| 10/31/2002 | WO2002075801A3 Method of fabricating oxides with low defect densities |
| 10/31/2002 | WO2002061835A9 Semiconductor device and its manufacturing method |
| 10/31/2002 | WO2002059922A3 Ferroelectric capacitor, method for the production and use thereof |
| 10/31/2002 | WO2002057382A3 A cmp polishing pad including a solid catalyst |
| 10/31/2002 | WO2002056036A3 Method for characterization of ldmos devices at the die reference plane |
| 10/31/2002 | WO2002052303A3 Projection lens |
| 10/31/2002 | WO2002043129A3 Method for determinating an endpoint during cmp of a semiconductor wafer |
| 10/31/2002 | WO2002031878A3 Trench capacitor dram process with protected top oxide during sti etch |
| 10/31/2002 | WO2002029900A3 Silicon carbide power mosfets having a shorting channel and methods of fabrication them |
| 10/31/2002 | WO2002029848A3 Wafer area pressure control for plasma confinement |
| 10/31/2002 | WO2002029384A3 Method and apparatus for embedded substrate and system status monitoring |
| 10/31/2002 | WO2002023629A3 Electronic device manufacture |
| 10/31/2002 | WO2002018099A3 Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods |
| 10/31/2002 | WO2002015244A3 Process for producing semiconductor article using graded expitaxial growth |
| 10/31/2002 | WO2002010480A3 Etching composition and use thereof with feedback control of hf in beol clean |
| 10/31/2002 | WO2001056063A9 Thinning and dicing of semiconductor wafers using dry etch, and obtaining semiconductor chips with rounded bottom edges and corners |
| 10/31/2002 | WO2001055701A9 System and method for the analysis of bodily fluids |
| 10/31/2002 | WO2001054002A9 System and method for h-tree clocking layout |
| 10/31/2002 | US20020162087 Logic synthesis device and logic synthesis method |
| 10/31/2002 | US20020162082 Method for making an interconnect layer and a semiconductor device including the same |
| 10/31/2002 | US20020162080 LSI design system |
| 10/31/2002 | US20020162079 Automated wiring pattern layout method |
| 10/31/2002 | US20020162065 Scan test circuit |
| 10/31/2002 | US20020162060 Integrated circuit facilitating its unit test |
| 10/31/2002 | US20020161665 Method for placing orders for masks used to fabricate semiconductor devices |
| 10/31/2002 | US20020161534 Method and apparatus for inspecting a substrate |
| 10/31/2002 | US20020161532 Defect source identifier |
| 10/31/2002 | US20020161527 Method of estimating shape of chemically amplified resist |
| 10/31/2002 | US20020161468 Integrated circuit annealing methods and apparatus |
| 10/31/2002 | US20020161163 Flexibility; impact strength |
| 10/31/2002 | US20020161148 Copolymer of fluorinated acrylate and norbornene compound |
| 10/31/2002 | US20020161100 Embedding resin, wiring substrate using same and process for producing wiring substrate using same |
| 10/31/2002 | US20020160903 Method for manufacturing aluminum nitride sintered body in which via hole is made |
| 10/31/2002 | US20020160702 Abrasive machine |
| 10/31/2002 | US20020160701 Holder for semiconductor wafers in a brush-cleaning installation |
| 10/31/2002 | US20020160698 Electro-chemical machining apparatus |
| 10/31/2002 | US20020160697 Workpiece holder for polishing, polishing apparatus and polishing method |
| 10/31/2002 | US20020160696 Method and apparatus for polishing workpiece |
| 10/31/2002 | US20020160695 Cutting apparatus and cutting method |
| 10/31/2002 | US20020160693 Wafer polishing method and wafer polishing device |
| 10/31/2002 | US20020160691 Planarization apparatus and method |
| 10/31/2002 | US20020160685 Glass powders, methods for producing glass powders and devices fabricated from same |
| 10/31/2002 | US20020160628 Process for reducing the critical dimensions of integrated circuit device features |
| 10/31/2002 | US20020160627 Method and device for treating and/or coating a surface of an object |
| 10/31/2002 | US20020160626 Vaporizing a dialkoxydialkylsilicon for chemical vapor deposition on a semiconductor and introducing oxygen and an inert gas to activate plasma polymerisation; low dielectric constant; humidity and heat resistance |
| 10/31/2002 | US20020160625 Method and system for processing substrate |
| 10/31/2002 | US20020160624 Curable organopolysiloxane composition and method of manufacturing semiconductor devices with the use of the aforementioned composition |
| 10/31/2002 | US20020160623 Method to fabricate thin insulating film |
| 10/31/2002 | US20020160622 Methods of heat treatment and heat treatment apparatus for silicon oxide films |
| 10/31/2002 | US20020160620 Method for producing coated workpieces, uses and installation for the method |
| 10/31/2002 | US20020160619 Method of manufacturing semiconductor device |
| 10/31/2002 | US20020160618 Method for patterning an organic antireflection layer |
| 10/31/2002 | US20020160617 Etching a dielectric layer with extra oxygen plasma treatment to reduce the thickness of the covering polymer film to ensure the following wet etch process completely removes the film; increased reliability of etch end-point detection |
| 10/31/2002 | US20020160616 Integrated circuit trench etch with incremental oxygen flow |
| 10/31/2002 | US20020160615 Keeping the edges and upper corners of a trench region from being exposed by etching the mask layer to form a trench and filling with dielectric material to cover; tapering; for isolating semiconductor devices |
| 10/31/2002 | US20020160614 Method of forming an interlayer dielectric film |
| 10/31/2002 | US20020160613 Method of manufacturing semiconductor device |
| 10/31/2002 | US20020160612 Manufacturing method of semiconductor device |
| 10/31/2002 | US20020160610 Fabrication method of semiconductor integrated circuit device |
| 10/31/2002 | US20020160609 Reducing dishing and erosion during polishing and riding thin films on a substrate planarizing for isolation of trenches by using a first and second liquid containing an oxidizing agent, an organic acid, corrosion inhibitor and water |
| 10/31/2002 | US20020160608 Copper-based metal polishing composition, method for manufacturing a semiconductor device, polishing composition, aluminum-based metal polishing composition, and tungsten-based metal polishing composition |
| 10/31/2002 | US20020160607 Electrode pad in semiconductor device and method of producing the same |
| 10/31/2002 | US20020160606 Method for material removal from an in-process microelectronic substrate |
| 10/31/2002 | US20020160604 Etching a via pattern in a second dielectric layer to use as a mask for etching via paatterns in the first dielectric layer; then a trench pattern is etched into the second inorganic dielctric layer to complete dual openings |
| 10/31/2002 | US20020160603 Method for forming salicide protected circuit with organic material |
| 10/31/2002 | US20020160602 Method for forming metal wiring layer |
| 10/31/2002 | US20020160601 Method of fabricating semiconductor device for preventing polysilicon line being damaged during removal of photoresist |
| 10/31/2002 | US20020160600 Back-end of the line (BEOL) processing in which the low-k dielectric has improved adhesion, low-defect density and good electrical properties by heating a substrate having a coating of a polymmerizable vinyltrihydrocarbyloxysilane |
| 10/31/2002 | US20020160599 Semiconductor device and wiring forming method in semiconductor device |
| 10/31/2002 | US20020160597 Wafer level package and the process of the same |
| 10/31/2002 | US20020160596 Method of fabricating a patterned metal-containing layer on a semiconductor wafer |
| 10/31/2002 | US20020160595 Method for forming a silicide gate stack for use in a self-aligned contact etch |
| 10/31/2002 | US20020160594 Manufacturing method of semiconductor device |
| 10/31/2002 | US20020160593 Method of enhanced oxidation of MOS transistor gate corners |
| 10/31/2002 | US20020160592 Method for forming ultra-shallow junctions using laser annealing |
| 10/31/2002 | US20020160591 Production method for annealed wafer |
| 10/31/2002 | US20020160590 Semiconductor device fabrication method and semiconductor device |
| 10/31/2002 | US20020160589 Semiconductor element and method of manufacturing the same |
| 10/31/2002 | US20020160588 Method of forming a junction in semiconductor device using halo implant processing |
| 10/31/2002 | US20020160587 Method to increase carbon and boron doping concentrations in Si and SiGe films |
| 10/31/2002 | US20020160586 Method and system for evaluating polsilicon, and method and system for fabricating thin film transistor |