Patents
Patents for H01L 21 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof (658,974)
04/2012
04/18/2012CN102420227A 一种抑制漏极感应势垒降低效应的后栅极工艺cmos器件及其制备方法 A method of inhibiting the drain induced barrier lowering effect after gate process cmos device and its preparation method
04/18/2012CN102420226A 一种抑制漏极感应势垒降低效应的cmos器件及其制备方法 A method of inhibiting the drain induced barrier lowering effect of cmos device and its preparation method
04/18/2012CN102420223A Circuit device and method for manufacturing the same
04/18/2012CN102420220A Circuit device and method of manufacturing the same
04/18/2012CN102420217A Multi-chip semiconductor packages and assembly thereof
04/18/2012CN102420215A Multiple edge enabled patterning
04/18/2012CN102420214A 形成强化对准标记的方法以及半导体器件 The method of strengthening the alignment mark is formed and a semiconductor device
04/18/2012CN102420213A Three-dimensional integrated circuit structure with low-k materials
04/18/2012CN102420212A 超低介电常数薄膜铜互连结构及其制作方法 Ultra-low dielectric constant film of copper interconnect structure and fabrication method thereof
04/18/2012CN102420211A 微凸点互联结构的图像传感器封装结构及实现方法 The image sensor package structure and implementation point of interconnection structure microbumps
04/18/2012CN102420210A Device with through-silicon via (tsv) and method of forming the same
04/18/2012CN102420209A 一种提高电容密度的结构及方法 A method for increasing the capacitance density of the structures and methods
04/18/2012CN102420207A 有机发光显示设备及其制造方法 The organic light emitting display device and its manufacturing method
04/18/2012CN102420206A Four-side pin-free packaging structure subjected to plating and etching sequentially and manufacturing method thereof
04/18/2012CN102420205A Advanced four-side flat pin-free package and manufacturing method thereof
04/18/2012CN102420204A Polymer core wire
04/18/2012CN102420203A Solder bump/metallization layer connecting structure body in microelectronic package and application of solder bump/metallization layer connecting structure body
04/18/2012CN102420202A Semiconductor device and manufacturing method thereof
04/18/2012CN102420201A 硅通孔结构及其制造方法 TSV structure and manufacturing method
04/18/2012CN102420200A 具有金属垂直互连结构的转接板及其制作方法 Adapter plate and a method of preparing metal vertical interconnect structure
04/18/2012CN102420197A Semiconductor device and manufacturing method of the same
04/18/2012CN102420195A Semiconductor device provided with rear protective film on other side of semiconductor substrate and manufacturing method of the same
04/18/2012CN102420194A 集成电路钝化层及其制造方法 The passivation layer and its method of manufacturing an integrated circuit
04/18/2012CN102420193A 存储器件的制造方法 The method of manufacturing a memory device
04/18/2012CN102420192A 一种双晶体管零电容动态ram的制备方法 Preparation method of two-transistor dynamic ram's zero capacitor
04/18/2012CN102420191A 应力记忆作用的半导体器件及其制造方法 Semiconductor device and manufacturing method stresses the role of memory
04/18/2012CN102420190A 一种改善先栅极工艺高k栅电介质cmos可靠性的方法 A method for improving the gate first high-k gate dielectric process reliability cmos
04/18/2012CN102420189A 一种改善后栅极工艺高k栅电介质cmos可靠性的方法 Methods gate process cmos high-k gate dielectric reliability improvement of the latter
04/18/2012CN102420188A 一种用于双刻蚀阻挡层技术的应变硅工艺制作方法 Strained silicon etch process method of making a two-layer technique for the barrier
04/18/2012CN102420187A 一种改善先栅极工艺中高k栅电介质pmos负偏置温度不稳定性效应的方法 A method for improving the first high-k gate process pmos gate dielectric effect of negative bias temperature instability method
04/18/2012CN102420186A 一种无侧墙cmos器件的制备方法 A non-sidewall preparation cmos devices
04/18/2012CN102420185A Cmos晶体管的制作方法 The method of making a transistor Cmos
04/18/2012CN102420184A Manufacturing method of semiconductor device
04/18/2012CN102420183A Manufacturing method of TFT (Thin Film Transistor) array substrate and TFT array substrate
04/18/2012CN102420182A 一种薄膜晶体管阵列的制造方法 A method of manufacturing a thin film transistor array
04/18/2012CN102420181A 一种半导体器件制作方法 A semiconductor device fabrication method
04/18/2012CN102420180A 半导体器件及其制造方法 Semiconductor device and manufacturing method thereof
04/18/2012CN102420179A 超低介电常数薄膜铜互连的制作方法 Ultra-low dielectric constant film production methods copper interconnects
04/18/2012CN102420178A 一种避免光阻中毒的碳化硅薄膜新工艺 A new process to avoid the silicon carbide film photoresist poisoning
04/18/2012CN102420177A 一种超厚顶层金属的双大马士革工艺制作方法 One kind of double-thick top metal fabrication method Damascus
04/18/2012CN102420176A 一种改善半导体晶片翘曲的方法 A method for improving a semiconductor wafer warpage
04/18/2012CN102420175A 设置顶部刻蚀阻挡层以增加接触孔刻蚀制程窗口的方法 The top of the etch stop layer is disposed in order to increase the contact hole etch process window approach
04/18/2012CN102420174A 一种双大马士革工艺中通孔填充的方法 A dual damascene process in the through-hole filling method
04/18/2012CN102420173A 一种提高铜互连可靠性的表面处理方法 A copper interconnect surface treatment methods to improve reliability
04/18/2012CN102420172A 用于提高半导体器件性能的在浅沟槽上形成接触孔的方法 The method of forming a shallow trench in the contact hole used to improve the performance of semiconductor devices
04/18/2012CN102420171A 用于超厚顶层金属的双大马士革制造工艺 Dual damascene manufacturing process for ultra-thick top metal
04/18/2012CN102420170A 用于超厚顶层金属的先沟槽金属硬掩模双大马士革工艺 First for extra-thick top metal grooved metal hard mask dual damascene process
04/18/2012CN102420169A 通孔填充牺牲材料的超厚顶层金属双大马士革工艺 Thick top metal vias filled dual damascene process sacrificial material
04/18/2012CN102420168A 一种湿法清洗等离子体刻蚀残留物的方法 A method for wet cleaning of plasma etching residues
04/18/2012CN102420167A 一种绝缘体上锗衬底的减薄方法 An insulator on a germanium substrate thinning method
04/18/2012CN102420166A 氮化硅-二氧化硅-氮化硅阻挡层的浅沟槽隔离刻蚀方法 Silicon - silicon dioxide - shallow trench isolation nitride barrier layer etching method
04/18/2012CN102420165A 一种用于双刻蚀阻挡层技术的应变硅工艺集成方法 A method of double integration technique for etching barrier layer is a strained silicon technology
04/18/2012CN102420164A 形成浅沟槽隔离结构的方法 The method for forming a shallow trench isolation structure
04/18/2012CN102420163A 一种隔离结构及制造方法、以及具有该结构的半导体器件 An isolation structure and manufacturing method, and a semiconductor device having the structure
04/18/2012CN102420162A 一种静电卡盘温度控制区的设计 Design of an electrostatic chuck temperature control zones
04/18/2012CN102420161A Apparatus for conveying wafer-shaped article and method thereof
04/18/2012CN102420160A 晶片供应系统 Wafer supply system
04/18/2012CN102420159A 一种半导体湿法腐蚀的装置及方法 A semiconductor device and method of wet etching
04/18/2012CN102420158A 一次性烧结多个不同厚度芯片的装置 Disposable sintering a plurality of different thickness of the chip unit
04/18/2012CN102420157A 一种提高硅片减薄后机械强度的方法 A method of thinning the wafer to improve the mechanical strength after
04/18/2012CN102420156A 处理装置和其维修方法 Processing apparatus and method for their maintenance
04/18/2012CN102420155A 设备去除率监测方法 Monitoring equipment removal
04/18/2012CN102420154A 基板位置检测装置、成膜装置以及基板位置检测方法 A substrate position detection apparatus, a film forming apparatus and a substrate position detection method
04/18/2012CN102420153A 一种通过光刻胶回刻反应终结点来判断孔深和孔径形貌是否正常的方法 A method of etching back reaction endpoint to determine the depth of the hole through the photoresist and pore morphology is normal
04/18/2012CN102420152A 一种用于功率器件在线控制沟槽剩余氧化硅厚度的方法 A method for controlling a power device line trench remaining silicon oxide thickness
04/18/2012CN102420151A 一种用于表征低介电常数介质材料损伤的检测方法 A detection method for low-permittivity dielectric materials used to characterize the damage
04/18/2012CN102420150A Methods of forming wire bonds for wire loops and conductive bumps
04/18/2012CN102420149A 树脂密封型半导体装置的制造方法、树脂密封型半导体装置及该半导体装置用的引线框架 The method of manufacturing a resin sealing type semiconductor device, lead frame and the resin sealing type semiconductor device of the semiconductor device in accordance with
04/18/2012CN102420148A 一种基于聚酰亚胺基体的铝垫制备工艺 A pad for preparing an aluminum substrate of polyimide-based processes
04/18/2012CN102420147A Semiconductor device and method of forming protective structure around semiconductor die for localized planarization of insulating layer
04/18/2012CN102420146A Semiconductor device and method of manufacturing the semiconductor device
04/18/2012CN102420145A 串联FinFET的实施方法 FinFET implementation methods of the series
04/18/2012CN102420144A 一种改善先栅极工艺高k栅电介质nmos hci方法 First gate process for improving the high-k gate dielectric nmos hci method
04/18/2012CN102420143A 一种改善后栅极工艺高k栅电介质nmos hci方法 After a high-k gate process improvement gate dielectric nmos hci method
04/18/2012CN102420142A 一种优化高压ldmos器件源漏穿通性能的方法 A high voltage source and drain feedthrough ldmos device performance optimization methods
04/18/2012CN102420141A 带有多晶牺牲衬垫层的浅沟槽隔离结构的制备方法 Preparation of a shallow trench isolation structure with the sacrificial spacer layer is a polycrystalline
04/18/2012CN102420140A 埋入式二次氮化硅衬垫的浅槽隔离结构的制备方法 Preparation of embedded silicon nitride liner to the second shallow trench isolation structure
04/18/2012CN102420139A Mos晶体管及其制作方法 Mos transistor and manufacturing method thereof
04/18/2012CN102420138A 晶体管的制作方法 Production methods transistor
04/18/2012CN102420137A Method for forming metal oxide semiconductor (MOS) transistor
04/18/2012CN102420136A Forming method of MOS (Metal Oxide Semiconductor) transistor
04/18/2012CN102420135A 沟道应力调整方法 Channel stress adjustment methods
04/18/2012CN102420134A 结合超级结穿通型沟槽igbt器件制造方法 Combined with super junction punch-through trench igbt device manufacturing method
04/18/2012CN102420133A Igbt器件的制造方法 Igbt device manufacturing method
04/18/2012CN102420132A 一种去除NiPt金属硅化物的方法 A method of removing a metal silicide method NiPt
04/18/2012CN102420131A 集成在前道工艺中的硅片背面氮化硅成长方法 Integrated during the fabrication process of silicon wafer backside growing method
04/18/2012CN102420130A 通过离子注入工艺来控制氧化膜厚度的方法 By an ion implantation process to control the oxide film thickness of all
04/18/2012CN102420129A 一种防止在金属层上形成光刻胶孔洞的方法 A method of forming holes in the resist on the metal layer prevents the
04/18/2012CN102420128A 一种利用光刻胶固化作用减少阱邻近效应的制程 A method of reducing the effect of the well adjacent the solidification process using a photoresist
04/18/2012CN102420127A Pipeline system and method for improving stability of etching speed selectivity ratio
04/18/2012CN102420126A All-wet process for improving integration of double-stress silicon nitride film and structure used in all-wet process
04/18/2012CN102420125A 一种深沟槽氧化物刻蚀改进工艺 A dark trench oxide etch process improvements
04/18/2012CN102420124A 一种介质层刻蚀方法 A dielectric layer etching method
04/18/2012CN102420123A 通过湿法刻蚀调节栅极侧壁层特征尺寸的工艺 Adjusting the gate sidewall layer feature size by a wet etching process
04/18/2012CN102420122A Method used for enhancing tensile stress of silicon nitride film
04/18/2012CN102420121A 一种针对氟基等离子体刻蚀后的氮化钛薄膜的处理方法 A titanium nitride thin film for the fluorine-based plasma etching processing method after
04/18/2012CN102420120A 一种进气结构 One kind of intake structure
04/18/2012CN102420119A 一种增强应力记忆效应的栅多晶硅刻蚀方法 An enhanced memory effects of stress gate polysilicon etching method
04/18/2012CN102420118A 一种金属硅化物栅极的形成方法 Of a metal silicide gate electrode forming method
04/18/2012CN102420117A 一种改善后栅极pmos负偏压温度不稳定性的方法 After the gate of pmos an improved negative bias temperature instability method