Kit#158(9) web all

Page 1

Компоненты и технологии

C o m p o n e n t s www.kit-e.ru

&

Te c h n o l o g i e s

№ 9 ’2014 (сентябрь)

ISSN 2079-6811

№ 9 ’2014

Микросхемы ПЛИС Speedster22i от Achronix

сентябрь

Оптимизация энергопотребления устройств на базе EFM32 Wonder Gecko

Components & Technologies Реклама

Реклама

Объемные или тонкопленочные термоэлектрические модули

Проектирование фильтров в FilterPro от Texas Instruments


®

Реклама

Реклама

www.facebook.com/platan.components



9 (158) '2014

9 (158) '2014

Рынок

Главный редактор Павел Правосудов | pavel@fsmedia.ru

Андрей Небогин «Все флаги в гости…»

Заместитель главного редактора Ольга Зайцева | olga_z@fsmedia.ru Выпускающий редактор Ксения Притчина | ksenia.pritchina@fsmedia.ru Редактор Елена Якименко | elena.yakimenko@fsmedia.ru Наталья Новикова | Natalia.Novikova@fsmedia.ru Редакционная коллегия Александр Фрунзе, Иосиф Каршенбойм Светлана Муромцева, Виктор Лиференко Дизайн и верстка Ольга Ворченко | olga@fsmedia.ru Отдел рекламы Ирина Миленина | irina@fsmedia.ru Отдел подписки Наталия Виноградова | podpiska@fsmedia.ru Москва 105120, Нижняя Сыромятническая ул., д. 10, стр. 4, офис 218 Тел./факс: (495) 987-3720

email: compitech@fsmedia.ru, web: www.kit-e.ru

Республика Беларусь «ПремьерЭлектрик» Минск, ул. Маяковского, 115, 7й этаж Тел./факс: (10*37517) 2973350, 2973362 email: murom@premierelectric.com

Отдел распространения СанктПетербург: Виктор Золотарев | victor.zolotarev@fsmedia.ru 80743 60194 60195 10358

Подписано в печать 22.08.14 Тираж 6000 экз. Свободная цена Журнал «Компоненты и технологии» зарегистрирован Министерством Российской Федерации по делам печати, телерадиовещания и средств массовых коммуникаций. Свидетельство о регистрации ПИ № ТУ 78-00653 от 22 июля 2010 года. Учредитель ООО «Издательство Файнстрит» Адрес редакции 121351, Москва, ул. Ивана Франко, д. 40, к. 1, стр. 2 Издатель ООО «Издательство Файнстрит» 197101, СПб, Петроградская наб., д. 34, лит. Б Отпечатано ООО «Акцент Групп» 194044, Россия, Санкт-Петербург, Б. Сампсониевский пр., д. 60 лит. И.

6

Компоненты Татьяна Колесникова Танталовые чип-конденсаторы для поверхностного монтажа фирмы Kemet

10

Ксения Васильева Высоконадежные подстроечные конденсаторы Temex-Ceramics

18

Валерий Ефремов Компонентная база для АФАР: высокочастотные соединители

СанктПетербург 197101, Петроградская наб., д. 34, лит. Б Тел. (812) 4381538 Факс (812) 3460665

Подписные индексы Каталог агентства «Роспечать» Каталог «Почта России» полугодие год Агентство KSS (тел. в Киеве (044) 2706220, 2706222)

Содержание

Юрий Петропавловский Помехозащитные фильтры Tusonix Светлана Сысоева Датчики температуры и влажности Honeywell — выбор для системной интеграции Импортозамещающие биполярные транзисторы производства ОАО «ИНТЕГРАЛ» — управляющей компании холдинга «ИНТЕГРАЛ» Пинкеш Сачдев (Pinkesh Sachdev) Программное управление аппаратной частью системы питания

22

26

33

Павел Леонов, Павел Пастухов Радиационно-стойкое статическое ОЗУ — 1645РУ5У от компании «Миландр»

48

Иосиф Каршенбойм Микросхемы ПЛИС Speedster22i от Achronix: самые быстрые и самые большие. Часть 1

54

Валерий Зотов Проектирование встраиваемых микропроцессорных систем на базе расширяемых процессорных платформ семейства Zynq‑7000 AP SoC в САПР Xilinx ISE Design Suite 65 Ксения Кондрашова Оптимизация энергопотребления устройств на базе микроконтроллеров EFM32 Wonder Gecko с ядром Cortex-M4F

76

Дэвид Эндин (David Andeen) Защита интеллектуальных счетчиков на всем протяжении жизненного цикла

82

Силовая электроника Геннадий Громов Объемные или тонкопленочные термоэлектрические модули

87

Александр Файел (Alexander Fiel), Томас Ву (Thomas Wu) Механизмы отказов MOSFET в мостовых импульсных источниках питания с переключениями при нулевом напряжении (ZVS)

95

40

44

Редакция не несет ответственности за информацию, приведенную в рекламных материалах. Полное или частичное воспроизведение материалов допускается с разрешения ООО «Медиа КиТ». Журнал включен в Российский индекс научного цитирования (РИНЦ). На сайте Научной электронной библиотеки eLIBRARY.RU (www.elibrary.ru) доступны полные тексты статей. Статьи из номеров журнала текущего года предоставляются на платной основе.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


9 (158) '2014

9 (158) '2014

Editorinchief Pavel Pravosudov | pavel@fsmedia.ru Deputy of editorinchief Olga Zaytseva | olga_z@fsmedia.ru Managing editor Ksenia Pritchina | ksenia.pritchina@fsmedia.ru Editor Elena Yakimenko | elena.yakimenko@fsmedia.ru Natalia Novikova | Natalia.Novikova@fsmedia.ru Editorial staff Alexander Frunze Svetlana Muromtseva Victor Liferenko Joseph Karshenbojm Design and layout Olga Vorchenko | olga@fsmedia.ru Advertising department Irina Milenina | irina@fsmedia.ru Subscription department Natalia Vinogradova | podpiska@fsmedia.ru Moscow 10, b. 4, of. 218, Nijnyaya Syromyatnicheskaya str., Moscow, 105120, Russia Tel. +7 (495) 987-3720 St. Petersburg b. 34 “B”, Petrogradskaya Emb., St. Petersburg, 197101, Russia Tel. (812) 4381538 Fax (812) 3460665 email: compitech@fsmedia.ru web: www.kit-e.ru

Belarus Republic Minsk, Premier Electric Tel./fax: (10*37517) 2973350, 2973362 email: murom@premierelectric.com

Circulation department St. Petersburg: Victor Zolotarev | victor.zolotarev@fsmedia.ru

Содержание Встраиваемые системы Анна Сергеева Применение реинжиниринга при проектировании встраиваемых систем

Владимир Рентюк Проектирование фильтров в FilterPro от Texas Instruments Морис О’Брайен (Maurice O’Brien) Перевод: Михаил Вьюгин Проектирование надежных изолированных интерфейсов I2C/PMBus Татьяна Колесникова Разработка схемы электрической принципиальной в программной среде NI Circuit Design Suite — Multisim 12.0. Часть 1

136

Владимир Дьяконов Система компьютерной алгебры Mathematica 9: новые возможности

142

101

Проектирование Виктор Сафронов Способ выделения пачек прямоугольных импульсов из их непрерывной последовательности произвольным асинхронным строб-сигналом

Анатолий Сергеев Автоматизированное создание документации на печатные платы в новой программе OrCAD Documentation Editor

Новые технологии

109

115

Алекс Лидоу (Alex Lidow), Йохан Стридом (Johan Strydom), Дэвид Рейш (David Reuch) Перевод: Святослав Юрьев Технология GaN быстро завоевывает новые рынки

Технологии Владимир Рентюк Низкочастотный генератор шума

121

128

155

160

Стефани Мичел (Stephanie Michel) Детектирование комплексно модулированных оптических сигналов 164 Владимир Дьяконов Восьмиканальные осциллографы высокой четкости Teledyne LeCroy HDO8000 для электроэнергетики

169

Subscription index for Components & Technologies Rospetchat Agency catalogue subscription index 80743 KSS agency Tel. in Kiev: 0442706220, 2706222 subscription index 10358

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


рынок

6

«Все флаги в гости…»

Андрей Небогин

О

сновная идея поездки заключалась в необходимости наглядно продемонстрировать и на реальных примерах подтвердить заказчикам, что продукция Agilent, поставляемая компанией «Диполь», — это продукция высочайшего качества, сделанная крупнейшим производителем на мировом уровне. Забегая вперед можно отметить, что поставленная задача была выполнена. Анализируя свои впечатления от посещения других предприятий, экскурсанты однозначно отметили, что по сравнению с тем, что они видели прежде, Agilent в десятки раз более крупное производство — по площадям, по объемам, по ассортименту выпускаемой продукции. Не будет преувеличением ска-

Компания «Диполь» совместно с московским представительством Agilent Technologies организовала визит в малазийское подразделение американского производителя. На остров Пенанг знакомиться с возможностями современных технологий отправилась техническая комиссия, сформированная из сотрудников компании «Диполь» и представителей ее ключевых предприятий-заказчиков.

зать, что Agilent Technologies — компания номер один на рынке измерений, и недавний визит показал нам, за счет чего этого удается достичь. Но все же при знакомстве основной акцент был сделан на системе качества, и разговор преимущественно шел не о технической или маркетинговой стороне, а о том, как Agilent удалось достичь столь высокой надежности своего оборудования. Надо сказать, что организация поездки была не самым простым делом. И причина не только в привычных в подобных случаях технических и логистических моментах. Agilent — американская компания, и много времени у нас ушло на согласование всех разрешений и допусков на предприятие.

Важную роль сыграло то, что «Диполь» знают как дистрибьютора мирового масштаба, и во многом благодаря этому нам пошли навстречу. Из всех своих многочисленных производств, находящихся в разных частях света, для знакомства Agilent выбрал фабрику, расположенную в Малайзии на острове Пенанг. И поначалу всем было очень интересно, почему мы приглашены именно туда. Как выяснилось, на этом небольшом острове, именуемом азиатской Силиконовой долиной, в свое время был введен льготный налоговый режим, способствующий размещению высокотехнологических производств. Здесь работают предприятия крупных международных электронных корпораций.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


рынок

В этом мы смогли убедиться воочию сразу по прилете на остров. Как только автобус начал движение по острову, экскурсовод не переставал обращать наше внимание: «Посмотрите, справа стоит предприятие AMD, а вот — Intel…» И так, не переставая: Motorola, Hitachi, Bosch, Osram… В принципе, первый день поездки был посвящен знакомству с островом, с его инфраструктурой и расположением заводов. Хочется отметить, что даже при взгляде со стороны все единодушно отметили внешнее отличие российских заводов от здешних предприятий, которые при своей приятной позитивной архитектуре больше напоминают торговые центры, не вписываясь в наши стереотипные представления о производственных зданиях — страшных громадных сооружениях с огромными трубами, обнесенных высокими заборами. Инфраструктура, удобство работников вплоть до эмоционального комфорта — всему этому уделяется большое внимание. Завершали впечатления первого дня посещение музея бабочек и дегустация местных фруктов. Особенно, конечно, всем запомнился малоароматный, мягко говоря, фрукт дуриан. Утро второго дня нашего визита было посвящено знакомству непосредственно с фабрикой Agilent. Первое, что бросилось в глаза, — производство занимает огромную площадь и включает множество корпусов. Следующим впечатлением стало то, как теп-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

ло и радушно нас встретили — прямо на входе в главное здание на огромном плазменном экране уже светилось «Welcome…» с перечислением всех приглашенных предприятий. Соответственно и все сотрудники Agilent уже знали, что у них в гостях коллеги из России. Причем за наше времяпрепровождение, деловую программу, досуг все три дня отвечали сотрудники из специальной службы Custom loyalty service. Основной темой в этот день было обсуждение системы качества компании Agilent —

7

как на высокотехнологичном производстве добиться таких успехов с точки зрения надежности продукции. Интересно было узнать о практикуемой системе субконтракта. Об этом хочется рассказать подробней. Agilent провел огромную работу и добился того, что на предприятии отсутствует входной контроль изделий. Дело в том, что очень много комплектующих изделий Agilent получает от своих субконтрактеров, как они их называют. Это сторонние предприятия — поставщики компонентов, металла, корпусов и т. д.

www.kite.ru


8

рынок

Как известно из опыта, на российских заводах очень много времени тратится на осуществление входного контроля, на отбраковку. По выстроенной «аджилентовской» системе все эти процедуры делаются самим поставщиком на его территории. Обеспечивается это отлаженной системой регулярных аудитов и очень строгим отбором субконтрактеров. Учитывается не только цена, но и много других факторов. Например, географическое расположение поставщика, его «шаговая доступность» — чтобы не тратить время и деньги на длительные перевозки. При этом поставку каждого изделия обеспечивают как минимум два поставщика — для надежности и бесперебойности поставок. В сборочном цехе мы своими глазами видели, что изделия сторонних производителей прямо из упаковки поступают на конвейер и тут же монтируются в изделие. В дальнейшем проводится уже только выходной контроль всего собранного изделия. При этом количество отказов крайне мало. Картина просто фантастическая! Все представители нашей группы подтвердили, что на российских предприятиях такое, увы, пока невозможно. В том числе и из-за принципов современных тендерных систем, когда главенствующее место занимает цена комплектующих, за что приходится расплачиваться большим процентом отбраковки при входном контроле. У Agilent эта проблема решена. Очень много мы говорили и о применяемой системе качества Six Sigma («шесть сигма»). Методология Six Sigma опирается

на сочетание статистических методов контроля качества, различных методов анализа данных и системы постоянного повышения квалификации специалистов, так или иначе вовлеченных в процессы, организованные с учетом Six Sigma. Думаю, рассказ об этой системе достоин отдельного материала. Мы еще мало знакомы с системой Six Sigma, в то время как мир высоких технологий уже давно использует ее в своей работе. Так завершилась первая половина этого рабочего дня. Потом был обед, с которого мы ушли в смешанных чувствах из-за… чрезвычайного гостеприимства принимающей стороны. Нас очень хотели поразить и удивить, знакомя с местной пищей, но она оказалась настолько острой и колоритной, что при всем желании употреблять ее было сложно. После обеда мы посетили сборочные цеха, и нам снова было чему удивиться. Мы так были наслышаны о компании Agilent, что наше воображение рисовало какой-то космос: безумные конвейеры, роботы-манипуляторы и что-то еще в том же духе. Но все оказалось намного проще — производство, построенное в семидесятых-восьмидесятых годах прошлого столетия, выглядело совсем не футуристическим. Впрочем, первое разочарование вскоре сменилось пониманием того, что даже если измерительные калибровочные технологии прошлого (кстати, собственной разработки) до сих пор актуальны и с успехом выполняют современные задачи, то это лучшая рекомендация потенциалу компании. Мы, разумеется, поинтересова-

лись, почему измерительное оборудование не модернизируется, на что получили ответ в духе «используемые приборы соответствуют всем современным стандартам как по точности, так и по надежности». При этом все автоматизировано, поддерживается система контроля и учета, ходит начальник цеха с планшетом, на экране которого видно, какой серийный номер изделия отправлен с одной линии на другую, где он прошел тест, а где тестирование продолжается. Вся информация отображается в базе данных с последующей выдачей отчетов. Все современные технологии сконцентрированы в первую очередь на этом направлении. Без того самого ожидаемого «космоса» тоже не обошлось. Всех поразили автоматизированные линии контроля и калибровки. Если говорить в цифрах, то, допустим, производство прибора занимает около четырех часов, а последующая его отладка на автоматизированных стендах — от семнадцати до двадцати часов, то есть в пять раз больше времени изготовления. И вот на этих автоматизированных линиях мы и увидели роботов, перемещающих изделие со стенда на стенд, перекручивающих разъемы, запускающих тесты. Многие из нашей группы были так впечатлены, что тут же захотели приобрести такую же линию, но получили отказ. Возможно, все представленное является индивидуальным инженерным решением и защищено как ноу-хау. Обязательно нужно отметить, насколько предприятие ориентировано на свой персонал

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


рынок

лял огромнейшее внимание точности своих приборов. Поддерживать исключительную достоверность измерений и призван метрологический центр, соответствующий всем многочисленным мировым стандартам. Этот центр аккредитован по всем стандартам всех тех стран, в которые компания Agilent поставляет свою продукцию. Нас всех поразило внимание Agilent не только к постпроизводственному тестированию, но и к периодической (по мере обращения заказчиков) калибровке своих приборов. Как результат процедуры периодической калибровки — 250 страниц отчета! В отчете предоставлены итоги тестирования каждого болтика, каждого режима работы прибора, приведены графики и табличные значения. Ну и конечно, сама лаборатория оснащена по последнему слову техники — автоматически поддерживается заданная температура, влажность, используются шлюзы, гермодвери, антистатическая защита. В завершение визита нам показали прототипы приборов, которые будут представ-

Александр Павлович Креницкий, к. ф.-м. н., генеральный директор Центрального НИИ измерительной аппаратуры (г. Саратов): «Это не первое мое посещение Малайзии. Было ожидание встречи с милыми, приветливыми людьми, которых так много в Юго-Восточной Азии. Я думал, что знаю эту страну — ее пальмы, пляжи, небоскребы, дружелюбную атмосферу. Я ошибался. Прилетев на остров Пенанг, я неожиданно для себя увидел другую Малайзию — образованную, высокотехнологичную, умеющую поразить своим подходом к производству продукции мирового класса. Визит на фабрику Agilent, организованный нашими партнерами из компании «Диполь», позволил своими глазами увидеть, как можно правильно организовать производство радиоизмерительного оборудования и подготовить персонал. Мне как специалисту в области разработки измерительного оборудования было особенно интересно узнать, как происходит тестирование параметров и сертификация готового продукта. Надо отметить, что, несмотря на отличия от наших стандартов, на Agilent данный процесс поставлен прекрасно и, на мой взгляд, очень правильно. Полная автоматизация, прозрачность процесса и отсутствие влияния человеческого фактора позволяют сделать вывод о высочайшем качестве и надежности выпускаемой продукции. Отдельно хотел бы отметить высокую степень профессиональной подготовки инженерного персонала, с которыми было очень приятно и полезно общаться. Особая благодарность ребятам из компании «Диполь», которые, как я убедился, всегда находят оптимальное решение любой, даже самой запутанной проблемы и на сегодня стали, пожалуй, самыми надежными нашими партнерами».

лены компанией уже в 2015 году. Есть много очень интересных новинок, но позвольте мне все подробности пока оставить в секрете. В свое время мы с удовольствием обо всем расскажем, в том числе и на страницах нашего журнала. n

Реклама

в социальном плане. Это чувствуется и в оборудовании мест досуга, и в значках компании на лацканах костюмов, и в досках почета с перечислением заслуг работников. В порядке вещей, например, перемещаясь по территории производства, встретить выставку-конкурс рисунков детей сотрудников. А в другом цехе уже другой конкурс — фотографий. Есть специально организованная выставка продукции, и каждый новый прибор анонсированно там размещается. То есть люди наглядно видят, для чего они работают и во что воплощается их труд. Взамен компания получает лояльность сотрудников. Причем и лояльность, и гордость за свою работу настоящую, не показную. Надо сказать, нематериальная мотивация персонала — великая вещь. В третий день пребывания на Пенанге мы переместились с производства Agilent в о в с п о м о гат е л ь н ы е п од р а з де л е н и я . Посетили музей компании, калибровочную лабораторию, сервисный центр. Больше всего времени провели в метрологической лаборатории. Как известно, Agilent всегда уде-

9

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


компоненты

10

пассивные элементы

Танталовые чип-конденсаторы для поверхностного монтажа фирмы Kemet

Татьяна Колесникова beluikluk@gmail.com

Введение Около трети всего производимого тантала используется при изготовлении танталовых конденсаторов и других электронных приборов. Танталовые конденсаторы обладают намного большим сроком службы по сравнению с алюминиевыми электролитическими конденсаторами. До недавнего времени широкое применение танталовых конденсаторов было ограничено из-за их высокой стоимости и они преимущественно использовались в военной спецтехнике. Благодаря внедрению новых технологических процессов в производство стоимость изготовления танталовых конденсаторов снизилась, а очевидные технические преимущества и способность решить ряд технологических проблем вызвали новый всплеск интереса к данным устройствам. Линейка конденсаторов Kemet включает танталовые конденсаторы для поверхност-

а

б

Компания Kemet была основана в 1919 году в США и на данный момент является одним из лидеров по производству танталовых конденсаторов различных типов, которые используются во многих электронных устройствах. Продукция, производимая компанией, помимо телекоммуникационной промышленности, области бытовой техники и компьютеров, используется в медицинской и военной отраслях. Также танталовые конденсаторы применяются в автомобильной промышленности, сетевом и коммуникационном оборудовании, системах безопасности. Конденсаторы Kemet использовались в таких известных космических программах, как Apollo, «Мир», Viking, что является неоспоримым доказательством надежности продукции компании, проверенной временем. В настоящей статье приведен обзор танталовых чип-конденсаторов фирмы Kemet для поверхностного монтажа.

ного монтажа, которые могут найти применение практически в любом электронном изделии, танталовые конденсаторы в герметичном металлическом корпусе, формованные танталовые конденсаторы в герметичном корпусе, радиальные формованные танталовые конденсаторы. На рис. 1 представлены танталовые конденсаторы: • SMD-типа (рис. 1а); • с радиальными выводами (рис. 1б); • с аксиальными выводами (рис. 1в). Основные преимущества танталовых конденсаторов — компактность и надежность. Они предназначены для работы в цепях переменного, постоянного и импульсного тока. Танталовые конденсаторы относятся к классу конденсаторов с оксидным диэлектриком и характеризуются наиболее высокой удельной емкостью и большими значениями единичной емкости. К наиболее часто используемым танталовым чип-конденсаторам для поверхностного

в

Рис. 1. Танталовые конденсаторы: а) SMD-типа; б) с радиальными выводами; в) с аксиальными выводами

монтажа фирмы Kemet можно отнести следующие серии: • Т491 — стандартные конденсаторы для массового коммерческого применения; • Т499, Т498 — высокотемпературные серии; • Т510, Т495, Т494 — конденсаторы Low ESR (с низким эквивалентным последовательным сопротивлением); • Т530, А700, Т520 — полимерные конденсаторы Low ESR. Танталовый конденсатор для поверхностного монтажа фирмы Kemet, его маркировка и система обозначений представлены на рис. 2, на рис. 3 показан его габаритный чертеж.

Танталовые конденсаторы Kemet Серия Т491 Танталовые конденсаторы Т491 — самая распространенная серия на мировом рынке. Жесткие стандарты качества и четкое выдерживание всех заявленных параметров делают эту серию — серией № 1 в мировом сегменте танталовых конденсаторов. Конденсаторы Т491 предназначены для массового коммерческого применения и имеют следующие особенности: • соответствуют стандарту EIA Standard 535BAAC; • упакованы согласно стандарту EIA 481-1 (лента на катушке); • позолоченные контакты; • корпус с лазерной маркировкой; • тестирование конденсаторов с типоразмерами C, D, E, U, V, X ударным током; • материал корпуса не содержит галогенов; • диапазон емкостей: от 0,1 до 1000 мкФ;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


пассивные элементы

компоненты

11

Рис. 2. Маркировка и система обозначений танталового конденсатора для поверхностного монтажа

• допустимое отклонение по величине емкости: ±10%, ±20%; • рабочее напряжение: от 2,5 до 50 В DC; • бессвинцовое исполнение (RoHS Compliance); • катод: диоксид марганца MnO2; • диапазон рабочих температур: от –55 до +125 °C. Конденсаторы стандартной серии Т491 выпускаются в корпусах следующих типоразмеров: A, B, C, D, X, E; конденсаторы низкопрофильной серии Т491 выпускаются в корпусах пяти типоразмеров: R, S, T, U, V. В таблице 1 приведены значения емкостей, напряжений и типоразмеров корпусов конденсаторов рассматриваемой серии. Частотные свойства конденсаторов определяет зависимость их импеданса и эквивалентного последовательного сопротивления (ESR) от частоты. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т491 показаны на рис. 4. На графиках сплошные кривые отображают зависимость импеданса от частоты следующих конденсаторов рассматриваемой серии: Т491С336М025АТ, Т491D476М025АТ, T491X107М025АТ. Зависимость эквивалентного последовательного сопротивления от частоты конденсаторов показана на графике пунктирными линиями. Графики зависимости емкости от частоты показаны для этих же конденсаторов. Для схем, в которых конденсаторы работают на высоких частотах, необходимо учитывать частотную зависимость изменения емкости и ESR. У танталовых конденсаторов уменьшение емкости начинается уже при частотах около 100 кГц. На высоких частотах эквивалентное последовательное сопротивление танталовых конденсаторов также значительно уменьшается. Из графиков видно, что при

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 3. Габаритный чертеж танталового конденсатора для поверхностного монтажа

Таблица 1. Значения емкостей, напряжений и типоразмеров конденсаторов серии Т491 Номинальное напряжение, В (+85 °C)

Емкость, мкФ

Маркировка

0,1 0,15 0,22 0,33 0,47 0,68 1 1,5 2,2 3,3

104 154 224 334 474 684 105 155 225 335

A

R/A A

4,7

475

A

S/A R/S A/B R/S/T A/B S/T A/B/C U/T A/B/C T/U A/B/C T/U/A B/C/D U/B C/D U/V B/C/D

2,5

3

4

6,8

685

S/A

10

106

15

156

22

226

33

336

47

476

68

686

100

107

150

157

R/S A/B S/T A/B S/T A/B/C T/U A/B/C T/U A/B/C U/A B/C/D T/U/A B/C/D V/B C/D

220

227

V/B

330 470 680 1000

337 477 687 108

V/C/D D/X D/X X/E

A

T

6

10

A A/B R/S/A A/B R/S S/T A/B S/T/A B/C T/U A/B/C T/U A/B/C U/V/A T/V/C/D U/V B/C/D U/V B/C/D

16

20

25

35

50

А А А А А/В А/В A/B B/C B/C B/C

А А/В В В В/С В/С V/B/C C/D C/D D

B/C/D

D

А A R/S/A A/B

А R/S/A S/A R/A/B T/A/B

А А А A/B R/A/B B/C B/C

A/B/T

A/B/C

A/B/C

A/B/C

U/A/B/C

B/C

C/D

D/X

B/C/U T/A

U/B/C

B/C/D

V/C/D

D/X X

U/A/B/C

C/D

C/D

C/D/X

U/B C/D

V/C/D

V/C/D

D/X

U/C/D

V/C/D

D/X

X

V/C/D

D

D/X

X/E

V/C/D

D/X

D/X

D/X/E

V/C/D

V/D/X

V/C/D

V/C D/X

D/X

V/C D/X D/X D/X/E E

V/D/X

X

D/X/E X/E

www.kite.ru


12

компоненты

пассивные элементы

Рис. 4. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т491

частотах более 10 кГц значение ESR конденсаторов серии Т491 уменьшилось в десятки раз. Эквивалентное последовательное сопротивление конденсатора определяется проводимостью материалов анода, катода, свойствами диэлектрика на высоких частотах, а также сопротивлением выводов конденсатора. ESR является одним из параметров конденсаторов, который сегодня все больше привлекает внимание разработчиков. По темпам прироста продаж на мировом рынке конденсаторы с низким ESR намного опережают стандартные. В большей мере это обусловлено их повышенной температурной стабильностью и продолжительным сроком службы по сравнению со стандартными конденсаторами. Серия Т494 Танталовые конденсаторы Т494 — это версия распространенной Т491‑серии с низким эквивалентным последовательным сопротивлением (Low ESR). Серия Т494 выпускается в большом ассортименте и рассчитана на широкий круг потребителей. В данной серии доступны низкопрофильные корпу-

са. Конденсаторы Т494 обладают высокой устойчивостью к пульсации тока, отличной стабильностью емкости и имеют следующие особенности: • низкие значения ESR; • соответствуют стандарту EIA Standard 535BAAC; • упакованы согласно стандарту EIA 481-1 (лента на катушке); • позолоченные контакты; • корпус с лазерной маркировкой; • тестирование конденсаторов с типоразмерами C, D, E, U, V, X ударным током; • диапазон емкостей: от 0,1 мкФ до 1000 мкФ; • допустимое отклонение по величине емкости: ±10%, ±20%; • рабочее напряжение: от 3 до 50 В DC; • бессвинцовое исполнение (RoHS Compliance); • катод: диоксид марганца MnO2; • диапазон рабочих температур: от –55 до +125 °C. Конденсаторы стандартной серии Т494 изготавливаются в корпусах следующих типоразмеров: A, B, C, D, X, E; конденсаторы

низкопрофильной серии Т494 выпускаются в корпусах пяти типоразмеров: R, S, T, U, V. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т494 показаны на рис. 5. На графиках сплошные кривые отображают зависимость импеданса от частоты следующих конденсаторов рассматриваемой серии: Т494С476М006АТ, Т494D337М010АТ, T494В106М020АТ. Зависимость эквивалентного последовательного сопротивления от частоты конденсаторов дана на графике пунктирными линиями. Графики зависимости емкости от частоты показаны для этих же конденсаторов. Конденсаторы этой серии могут найти свое применение в автомобильной и портативной электронике, блоках управления, DC/DC-преобразователях напряжения. Серия Т510 Серия Ultra-low ESR T510 — это прорыв в технологии твердых танталовых конденсаторов. Это мультианодная, устойчивая к высоким пульсирующим токам и перегрузкам серия с высокими значениями емкости

Рис. 5. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т494

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


пассивные элементы

компоненты

13

Рис. 6. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т510

и сверхнизким ESR. Выполняется только в корпусах X и E. Данная серия имеет следующие особенности: • ультранизкие значения ESR <30 мОм; • новый типоразмер E/7260 с ESR <18 мОм; • рассчитаны на ток пульсации до 5 А; • бессвинцовое исполнение (RoHS Compliance); • диапазон рабочих температур: от –55 до +125 °C; • тестирование ударным током; • корпус с лазерной маркировкой; • упакованы согласно стандарту EIA 481-1 (лента на катушке). Конденсаторы серии Т510 выпускаются в корпусах следующих типоразмеров: X, E. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т510 показаны на рис. 6. На графиках сплошные кривые отображают зависимость импеданса от частоты следующих конденсаторов рассматриваемой серии: Т510Х226М035АТЕ100, Т510Х336М035АТЕ065, T510Е476М035АТЕ050. Зависимость эквива-

лентного последовательного сопротивления от частоты конденсаторов представлена на графике пунктирными линиями. Графики зависимости емкости от частоты показаны для этих же конденсаторов. Конденсаторы данной серии могут использоваться в блоках управления с высоким уровнем пульсаций тока. Серия Т520 T520 — самая распространенная полимерная серия. Во всех полимерных сериях на катоде вместо традиционного диоксида марганца используется проводящий органический полимер, что позволяет достичь очень низких значений ESR и стабильности емкости на высоких частотах. Кроме того, при выходе из строя такие конденсаторы не воспламеняются в отличие от традиционных с MnO2. В T520‑серии органично сочетаются сильные стороны керамических и оксидных конденсаторов при вполне приемлемой цене. Основной потребитель этих конденсаторов — компьютерная и телекоммуникационная отрасли (мобильные устройства,

DC/DC-преобразователи, ноутбуки, SSD, HDD- и USB-накопители). Данная серия конденсаторов имеет следующие особенности: • бессвинцовое исполнение (RoHS Compliance); • диапазон рабочих температур: от –55 до +105 °C; • полимерный катод; • механизм самовосстановления; • низкие значения ESR; • диапазон емкостей: от 10 мкФ до 1000 мкФ; • допустимое отклонение по величине емкости: ±20%; • рабочее напряжение: от 2 до 25 В; • тестирование ударным током; • материал корпуса не содержит галогенов; • размеры корпуса соответствуют стандарту EIA. Конденсаторы серии Т520 выпускаются в корпусах следующих типоразмеров: A, B, C, D, H, L, M, T, U, V, W, X, Y. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т520 показаны на рис. 7. На графиках сплошные

Рис. 7. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серии Т520

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


14

компоненты

пассивные элементы

а

б Рис. 8. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серий: а) Т540; б) Т541

кривые отображают зависимость импеданса от частоты следующих конденсаторов рассматриваемой серии: Т520В157М006АТЕ035, Т520С227М006АТЕ025, T520V337М006АТЕ015, Т520D337М006АТЕ009. Зависимость эквивалентного последовательного сопротивления от частоты конденсаторов представлена на графике пунктирными линиями. Для этих же конденсаторов показаны и графики зависимости емкости от частоты. Серии Т540 и Т541 В 2012 году компания Kemet выпустила полимерные танталовые конденсаторы для поверхностного монтажа повышенной надежности, рассчитанные на напряжение до 63 В, в сериях Т540 и Т541. Конденсаторы данных серий — это полимерные COTS (Commercial-Off-The-Shelf) конденсаторы, сертифицированные по военному стандарту MIL-PRF‑55365/8. Серии Т540 и Т541 являются улучшенным вариантом серий Т525 и Т530 соответственно, предельное напряжение которых составляло 25 В. Конденсаторы серий Т540 и Т541 могут применяться в военной и космической отраслях и имеют следующие особенности:

• полимерный катод; • напряжение: до 63 В; • рабочая температура: до +125 °C; • механизм самовосстановления; • защита от возгорания; • опции защиты от перегрузки; • низкие значения ESR; • опции уровня ESR; • диапазон емкостей: 4,7–680 мкФ (для Т540-й серии), 10–1500 мкФ (для Т541-й серии); • сертификация MIL-PRF‑55365/8. Графики зависимости ESR и импеданса от частоты и емкости от частоты конденсаторов серий Т540 и Т541 показаны на рис. 8, конденсаторы данных серий выпускаются в корпусах следующих типоразмеров: B, C, D — Т540-я серия; D, X, Y — Т541-я серия. На графиках сплошные кривые отображают зависимость импеданса от частоты следующих конденсаторов Т540-й серии: Т 5 4 0 D 3 3 6 M 0 2 5 A H E 0 7 5 , T540D686M016AHE075AH, T 5 4 0 D 1 0 7 M 0 1 6 A H E 0 5 0 и Т541-й серии: T541X227M016AHE040, T 5 4 1 X 1 0 7 M 0 2 0 A H E 0 5 0 , T 5 4 1 X 1 0 7 M 0 2 5 A H E 0 6 0 ,

T541X336M050AHE075. Зависимость эквивалентного последовательного сопротивления от частоты конденсаторов показана на графиках пунктирными линиями. Графики зависимости емкости от частоты представлены для этих же конденсаторов. Серии T540, T541 являются частью семейства конденсаторов на основе органического полимера KEMET (KEMET Organic Capacitor, KO-CAP). В этих конденсаторах используется тантал для анода и оксид тантала Ta2O5 — для диэлектрика. Проводящий органический полимер на катоде заменяет стандартный MnO2. Усовершенствованная технология изготовления полимерных конденсаторов позволила увеличить рабочее напряжение до 63 В. Благодаря этому новые танталовые конденсаторы можно использовать в более мощных системах, например конденсаторы с напряжением 63 В могут быть использованы в схемах с напряжением питания до 50 В, а также в телекоммуникационных цепях питания с напряжением 48 В, в источниках питания, применяемых в автомобильной отрасли, в авиационной радиоэлектронике (отвечают требованиям MIL-STD‑704F). К особенностям конденсаторов серий Т540 и Т541 можно отне-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


пассивные элементы

компоненты

15

Таблица 2. Размеры танталовых конденсаторов фирмы Kemet стандартной и низкопрофильной серий Типоразмер корпуса

Габаритные размеры и допуски, мм

EIA

L

W

H Стандартная серия 1,6 ±0,2

F ±0,1

S ±0,3

B ±0,15

X

P

R

T

A 1,4 1,1 (T491, T494, T540) 2,1 (T520) 3,1 (T491, T494, T520) 2,5 (T540) 3,8 3,8 3,8 0,13 3,8

2,8

2,4

3,5 3,5 3,5 3,5 3,5

3,5 3,5 3,5 3,5 3,5

3,1 2,1

2,8 1,8

2,4 2,2

0,5

0,8

0,5

0,8

0,8

0,8 (T491) 1,4 (T494) 1,1 (T491, T494) 2,1 (Т520) 2,5 (Т491) 3,1 (Т494,Т520)

1,1

1,3

1,8

2,2

2,8

2,4

1,3

3,8

3,5

3,5

1,3

3,8

3,5

3,5

3216-18

1,2

0,8

0,4

0,4

0,4

3528-21

3,2 ±0,2 3,2 ±0,2 (Т491) 3,5 ±0,2 (T494, T520, T540)

1,6 ±0,2

B

2,8 ±0,2

1,9 ±0,2

2,2

0,8

0,4

0,5

1

C

6032-28

6 ±0,3

3,2 ±0,3

2,5 ±0,3

2,2

1,3

0,5

0,9

1

T491, T494, T520, T540, T541 T491, T494, T510, T520, T541 T491, T494, T510 T520 T520, T541

D X E H Y

7343-31 7343-43 7260-38 7260-20 7343-40

7,3 ±0,3 7,3 ±0,3 7,3 ±0,3 7,3 ±0,3 7,3 ±0,3

4,3 ±0,3 4,3 ±0,3 6 ±0,3 6 ±0,3 4,3 ±0,3

1,3 1,3 1,3 1,3 1,3

0,5 0,5 0,5 0,5 0,5

0,9 1,7 0,9 0,9 1,7

1 1 1 1 1

Т520

L M

6032-19 3528-15

6 ±0,3 3,5 ±0,2

R

2012-12

2 ±0,2

S

3216-12

3,2 ±0,2

T491, T494 T

3528-12

3,5 ±0,2

T491, T494, T520

U

6032-15

V

7343-20

T520

W

7343-15

6 ±0,2 (T491) 6 ±0,3 (T494, T520) 7,3 ±0,2 (T491) 7,3 ±0,3 (T494, T520) 7,3 ±0,3

Таблица 3. Диапазон емкостей танталовых конденсаторов Kemet для поверхностного монтажа Серия

Т491 Т494

Т495

А700

Т498

Т499

Т510

Т520

Т530

Типоразмер корпуса KEMET

EIA

Диапазон емкостей, мкФ

A B C D X E R S T U V A B C D X E Т V V D X A B C D X A B C D X X E A B C D Y X T U W V D Y X

3216-18 3528-21 6032-28 7343-31 7343-43 7260-38 2012-12 3216-12 3528-12 6032-15 7343-20 3216-18 3528-21 6032-28 7343-31 7343-43 7260-38 3528-12 7343-20 7343-20 7343-31 7343-43 3216-18 3528-21 6032-28 7343-31 7343-43 3216-18 3528-21 6032-28 7343-31 7343-43 7343-43 7260-38 3216-18 3528-21 6032-28 7343-31 7343-40 7343-43 3528-12 6032-15 7343-15 7343-20 7343-31 7343-40 7343-43

0,1–100 0,15–150 0,47–330 1,5–680 6,8–1000 470–1000 1–10 1–22 3,3–100 6,8–100 1–330 0,47–6,8 0,47–150 2,2–330 6,8–470 4,7–1000 100–1000 10–100 68–220 8,2–150 56–220 100–470 0,33–4,7 2,2–33 1,5–47 10–100 22–220 0,15–4,7 0,47–33 1,5–68 3,3–150 33–220 22–1000 47–1000 10–68 33–330 68–330 15–1000 330–1000 330–1000 15–100 33–220 33–330 15–470 150–680 220–1000 150–1500

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

2,8 ±0,3 2,4 4 ±0,3 2,4 3,6 ±0,2 4,1 2 4,1 4 2,4 Низкопрофильная серия 3,2 ±0,2 1,9 2,2 2,8 ±0,2 1,5 2,2 1,6 ±0,2 (Т491) 1,3 ±0,2 0,9 1,2 (Т494) 1,9 ±0,2 (Т491) 1,6 ±0,2 1,2 1,2 (Т494) 2,5 ±0,3 (Т491) 1,2 (Т491) 2,8 ±0,2 1,2 (T494, T520) 2,2 (Т494, Т520) 3,2 ±0,2 (T491, T520) 2,8 ±0,3 (Т491) 2,2 3,2 ±0,3 (T494) 1,5 (T494, T520) 4,3 ±0,2 (T491) 4 ±0,3 (Т491) 2,4 4,3 ±0,3 (T494, T520) 2 (T494, T520) 4,3 ±0,3 1,5 2,4

1,3 0,8

0,8 1,3

сти высокую надежность и прочность, самое низкое последовательное сопротивление ESR (<6 мОм), слабую зависимость емкости от частоты и температуры, отличное распределение мощности, допустимый ток пульсаций в три раза выше, чем у стандартных конденсаторов на основе катода из MnO2.

Заключение Размеры конденсаторов стандартной и низкопрофильной серий представлены в таблице 2. Для низкопрофильной серии размеры В, Р и R не указаны, поскольку корпуса низкопрофильного типа не имеют фасок и выемок. Диапазон емкостей конденсаторов для поверхностного монтажа фирмы Kemet представлен в таблице 3. Необходимо отметить, что тантал-чипы типа MnO2 не являются герметичными, поэтому под воздействием относительной влажности могут произойти необратимые изменения параметров этих конденсаторов. Проникновение влаги внутрь конденсаторов

0,05

T491, T494, T520, T540

0,1 ±0,1

A

T491, T494, T520

0,13

KEMET

0,13

Серия G

E

1,1

1,3

1,8

2,2

снижает сопротивление изоляции и электрическую прочность, увеличивает тангенс угла потерь. С увеличением влажности увеличивается и емкость. Реакция на изменение влажности воздуха возрастает с повышением температуры. Также с повышением температуры увеличивается и емкость. Температурная зависимость емкости конденсаторов MnO2-типа представлена в виде графика на рис. 9. Твердые танталовые конденсаторы применяются, как правило, в цепях, где переменная составляющая (АС) меньше по сравнению с постоянной составляющей (DC). Конденсаторы MnO2-типа не теряют емкость при деформировании. Хранение при высокой температуре может стать причиной небольшого временного увеличения тока утечки, но исходное значение, как правило, восстанавливается в течение нескольких минут после подачи на конденсатор номинального напряжения. С увеличением частоты емкость полимерных чип-конденсаторов, впрочем, как

Рис. 9. Температурная зависимость емкости танталовых конденсаторов MnO2‑типа фирмы Kemet

www.kite.ru


16

компоненты

пассивные элементы

Литература

и традиционных конденсаторов MnO2‑типа, уменьшается, однако по отношению к конденсаторам MnO2‑типа уменьшение емкости происходит медленнее, что видно из графика на рис. 10. С повышением температуры емкость полимерных чип-конденсаторов увеличивается. Необходимо отметить, что среди продукции фирмы Kemet, помимо стандартного ряда танталовых конденсаторов (как поверхностного, так и сквозного монтажа), имеется

широкий ряд высокотемпературных и высоковольтных танталовых конденсаторов, а также конденсаторов специального применения, которые по своим характеристикам обходят прочих производителей и в то же время являются недорогими и доступными. Продукция компании Kemet ориентирована на производителей, стремящихся уменьшить стоимость конечных изделий, но в то же время сохранить высокие технические показатели и высокую надежность. n

Реклама

Рис. 10. График зависимости емкости от частоты полимерных и MnO2‑типа танталовых конденсаторов фирмы Kemet

1. www.kemet.com 2. Product Selection Guide. KEMET Electronics Corporation, 2012. 3. Surface Mount Capacitors. KEMET Electronics Corporation, 2012. 4. Tantalum Surface Mount Capacitors — Standard Tantalum, T491 Industrial Grade MnO2 Series. KEMET Electronics Corporation, 2012. 5. Tantalum Surface Mount Capacitors — Automotive, T494 Industrial Grade Low ESR MnO 2 Series. KEMET Electronics Corporation, 2012. 6. Low ESR MnO 2 Tantalum Surface Mount Capacitors, T510 Series Multiple Anode Low ESR Space Grade. KEMET Electronics Corporation, 2013. 7. KEMET Organic Capacitor (KO-CAP), T520 Series P o l y m e r Ta n t a l u m . K E M E T E l e c t r o n i c s Corporation, 2012. 8. High Reliability KEMET Organic Capacitor (KOCAP), T540 Polymer Commercial Off-the-Shelf (COTS) Series. KEMET Electronics Corporation, 2014. 9. High Reliability KEMET Organic Capacitor (KOCAP), T541 Polymer Commercial Off-the-Shelf (COTS) Multiple Anode Series. KEMET Electronics Corporation, 2014.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


компоненты

18

ВЧ/СВЧ-элементы

Высоконадежные подстроечные конденсаторы Temex-Ceramics

Ксения Васильева k.vasilyeva@ferrite.ru

За последнее десятилетие развитие радиоэлектронной отрасли привело к появлению широкого ряда СВЧ-устройств как специального, так и бытового назначения. Сегодня спрос на высоконадежные и многофункциональные системы связи и телевидения, радиолокационную аппаратуру, аэрокосмические комплексы и медицинское оборудование постоянно увеличивается, и потому основное внимание разработчиков оборудования направлено на усовершенствование производственного процесса и выбор электронных компонентов с заданными характеристиками.

О

дним из ведущих мировых производителей ВЧ и СВЧ пассивной элементной базы является Temex-Ceramics. Деятельность компании начала свой отсчет с 1971 года (Бордо, Франция) и была направлена на получение ряда материалов (титанатов, элементоксидных соединений) и керамики заданного состава для создания электронных компонентов с улучшенными характеристиками. Начиная с 1988 года компания освоила выпуск ферритов со структурой граната и шпинели. Активному росту Temex-Ceramics способствовали как изучение потребностей заказчиков и специалистов, работающих с радиотехникой специального назначения, так и развитие направлений R&D (в области исследований и разработки). Кроме того, TemexCeramics делает особый акцент на систему качества — вся продукция сертифицирована по стандартам ISO 9000, 9001, ISO 14000 и соответствует директиве RoHS. На протяжении многих лет выпуск и реализация готовых изделий осуществляется по всему миру через официальных дистрибьюторов в Италии, Испании, странах Восточной Европы, России, Индии, Южной Корее. В июне 2012 года Temex-Ceramics вошла в состав Exxelia Group — группы компаний, осуществляющих поставку электронных компонентов для различных областей промышленности. В настоящее время усовершенствование технологий и развитие потенциала Temex-Ceramics ведется в одном из перспективных направлений — производстве многослойных керамических (высоковольтных, высокотемпературных, высокочастотных) и подстроечных конденсаторов (рис. 1) с воздушным, керамическим или сапфировым диэлектриком, а также регулирующих элементов для резонаторных фильтров.

Среди отмеченных компонентов особый интерес представляет достаточно широкий ряд подстроечных конденсаторов (trimmer capacitors) с различными техническими параметрами, характеризующихся высокой добротностью, как в выводном исполнении, так и предназначенных для поверхностного монтажа (SMD). Особенность триммеров заключается в том, что емкость устанавливается только в ходе настройки изделия при выпуске и остается неизменной при эксплуатации, что приводит к упрощению конструкции и снижению количества циклов регулировки в сравнении с переменными конденсаторами. Такое техническое исполнение позволяет использовать подстроечные конденсаторы в схемах с плавным изменением частоты для компенсации разброса начальной емкости схемы, для установки необходимой величины емкостной связи, для настройки контуров на требуемые фиксированные частоты и компенсации отклонений параметров элементов схемы и в других областях [2]. К основным электрическим параметрам триммеров можно отнести: • Cmin/Сmax — границы диапазона регулировки: минимальная, максимальная емкости (Ф); • U — допустимое напряжение (В). Величина допустимого и рабочего напряжения определяется свойствами и толщиной диэлектрического слоя, а также расстоянием между выводами; • ТКЕ — температурный коэффициент емкости (ppm/°C), является характеристикой температурной стабильности емкости; • Q — добротность в заданном диапазоне частот при максимальной емкости. Temex-Ceramics выпускает серии компонентов как с воздушным, так и с твердым диэлектриком. Подстроечные конденсаторы с керамическим диэлектриком обладают улучшенными удельными характеристиками (табл. 1). Герметизированная серия триммеров с алюминиевым корпусом доступна в SMD-исполнении (рис. 2) и отличается устойчивостью к жестким воздействиям окружающей среды, а также механической и электрической прочностью. Конструктив корпуса конденсатора обеТаблица 1. Характеристики подстроечных SMD-конденсаторов серии AT 23XXG с керамическим диэлектриком

Серия

Рис. 1. Подстроечные конденсаторы с воздушным и твердым диэлектриком [1]

Диапазон Номинальное емкостей, напряжение, пФ В DC

Допустимое напряжение, В DC

Диапазон рабочих температур, °С

ТКЕ, ppm/°C

Q при 100 МГц Cmax

AT2320G-0

0,6–2,5

AT2320G-1

1–5

>3000

AT2320G-2

2,5–10

AT2320G-3

7,5–18

–450 ±300

>500

AT2340G-4

8,5–22

–1100 ±300

>300

100±200 250

500

–55…+125

>1000 >1000

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


компоненты

ВЧ/СВЧ-элементы

19

Таблица 2. Характеристики подстроечных конденсаторов с сапфировым диэлектриком стандартной серии AT272 Серия

Рис. 2. SMD-триммер с керамическим диэлектриком Temex-Ceramics [3]

спечивает защиту от проникновения флюса во внутреннюю часть изделия при пайке. Согласно технической документации [3] рабочий диапазон частот таких компонентов не превышает 2 ГГц, а сопротивление изоляции составляет не менее 10 000 МОм. Миниатюрные триммеры Temex-Ceramics (рис. 3) отличаются высокой добротностью (рис. 4), стабильностью электрических характеристик в течение всего срока службы изделия. Применение таких подстроечных конденсаторов представляет интерес в электрических схемах, где размер и техническое исполнение являются ключевыми параметрами. Компанией представлены следующие серии таких триммеров: AT 9401G, AT 9402G, AT 9410G. Основные характеристики миниатюрных компонентов: • диапазон емкостей: изменяется для разных компонентов от 0,25–0,7 до 10–50 пФ; • добротность (Q) при 100 МГц и Cmax варьируется от 200 до 3000 в зависимости от выбранной серии. Для всех конденсаторов идентичными являются следующие показатели: • диапазон рабочих температур: –55…+125 °C; • номинальное напряжение: 250 В; • допустимое напряжение: 500 В. Для увеличения срока службы и снижения уровня шумов при настройке СВЧ-устройств наиболее подходят подстроечники с сапфировым диэлектриком. При небольших габаритных размерах данные триммеры обладают высокими значениями емкости и пробивного

AT2726X AT SM260X AT2727X AT SM270X AT2728X AT SM280X AT27290X AT SM290X

Диапазон емкостей, пФ

ТКЕ, ppm/°C

Q при Cmax

Допустимое напряжение, В DC

Диапазон рабочих температур, °С

0 ±50

>5000 при 250 МГц

0 ±50

>3000 при 250 МГц

0,4–2,5

0 ±50

>4000 при 250 МГц

0,8–8

0 ±75

>3000 при 100 МГц

0,3–1,2 0,6–4,5 500

напряжения, а также малым коэффициентом ТКЕ. Характеристики компонентов приведены в таблице 2. Наряду с рассмотренными компонентами Temex-Сeramics также выпускает высоковольтные серии подстроечных конденсаторов с твердым диэлектриком, в том числе: • Серии AT52H, AT55H (рис. 5а) с тефлоновым диэлектриком. Диапазон емкости в данных компонентах соответствует значениям 1,5–15 и 1,5–19 пФ. Номинальное и допустимое напряжения (VDC) составляют 1250 и 2500 В для триммеров AT52H и 1000 и 2000 В — для изделий AT55H [5]. • Серии AT572, TG09 (рис. 5б) с сапфировым диэлектриком со следующими характеристиками [6]. Диапазон емкостей: изменяется от 0,8–1 до 1–13 пФ для компонентов AT572 и от 0,5–0,8 до 0,5–10 пФ — для изделий TG09. Номинальное напряжение варьируется от 500 до 5000 В в зависимости от серии. Допустимое напряжение находится в диапазоне 1000–10 000 В. Наряду с сапфиром и керамикой перспективным является использование в качестве диэлектрика воздуха, который обладает такими преимуществами, как малая проводимость, отсутствие зависимости диэлектрической проницаемости (ε) от частоты, малая зависимость ε от температуры, давления и влажности. В отличие от сапфира и керамики применение воздуха в качестве диэлектрика позволяет создать наиболее простые конструкции с улучшенными электрическими характеристиками: малым тангенсом угла потерь, невысокими значениями коэффициента ТКЕ, стабильной емкостью — все это позво-

Рис. 3. Миниатюрные триммеры с керамическим диэлектриком Temex-Ceramics [4]

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Номинальное напряжение, В DC

1000

–55…+125

ляет их использовать в кварцевых генераторах и фильтрах, мобильных радиоустройствах, авиационных средствах связи, радиолокаторах, в кабельном телевидении и т. д. В этой связи компанией Temex-Ceramics были специально разработаны миниатюрные, стандартные и высоковольтные серии триммеров с воздушным диэлектриком (табл. 3). При анализе приведенных данных становится очевидно, что успехи Temex-Ceramics в усовершенствовании технологии по производству компонентов, применяемых в СВЧ-технике, привели к появлению на рынке электронных компонентов высоконадежных триммеров c керамическим диэлектриком AT 9401G, AT 9402G, AT 9410G, а также воздушных подстроечников AT 5800, AT 5850, AT 5700, AT 5750, характеризующихся исключительно малыми размерами. Использование миниатюрных конденсаторов полупеременной емкости является перспективным при решении столь важных задач, как снижение массы и габаритов современной радиоэлектронной аппаратуры.

а

б

Рис. 5. Высоковольтные триммеры: а) с тефлоновым диэлектриком [5]; б) с сапфировым диэлектриком [6]

Рис. 4. Зависимость изменения добротности миниатюрных триммеров серии AT 9401G от частоты при максимальном значении емкости [4]

www.kite.ru


новости

ВЧ/СВЧ-элементы

Миссия «Розетта»: maxon motor участвует в гонке за кометой

Стандартные триммеры AT 5200 AT 5201 AT 5202 AT 8052 AT 5400 AT 5401 AT 5402 AT 8053 AT 5450 AT 5451 AT 5452 AT 5453 AT 5500 AT 5501 AT 5502 AT 8054 AT 5600 AT 5601 AT 5602

0 ±15

>10 000

1–14

0 ±25

>7500

1–16

500

–55…+125

Q при 100 МГц и Cmax

ТКЕ, ppm/°C

Диапазон рабочих температур, °С

Допустимое напряжение, В DC

Миниатюрные триммеры

0,8–10

250

Номинальное напряжение, В DC

Серия

Диапазон емкостей, пФ

Q при 100 МГц и Cmax

ТКЕ, ppm/°C

Диапазон рабочих температур, °С

Допустимое напряжение, В DC

Номинальное напряжение, В DC

Диапазон емкостей, пФ

Таблица 3. Характеристики подстроечных конденсаторов с воздушным диэлектриком

Серия

0 ±50

>3000

1–20

0 ±30

>1000

1–30

0 ±30

>800

AT 5800 AT 5801 0,35–3,5 AT 5802 AT 8051 AT 5850 AT 5851 0,5–5 AT 5852 AT 5853 AT 5750 AT 5751 0,8–10 AT 5752 AT 5753 AT 5700 AT 5701 0,8–6 AT 5702 AT 8050

>10 000

0 ±50 250

500

>7500

–55…+125

0 ±15

>10 000

0 ±20

>2000

Высоковольтные триммеры

Кроме того, абсолютно для всех серий выпускаемых Temex-Ceramics триммеров обеспечиваются стабильность электрических параметров в заданном диапазоне, надежность и долговечность работы изделия, что делает их незаменимыми в радиоприемных n и передающих устройствах.

Литература 1. www.Temex-ceramics.com

AT 5301 AT 5302

0,8–10

500

1000

–55…+125

события

2. Фрумкин Г. Д. Расчет и конструирование радиоэлектронной аппаратуры. М.: Высшая школа. 1989. 3. Hermetic Ceramic Trimmer Capacitors AT 23XXG Series. Datasheet. Temex-Ceramics. 4. SMD Thin Ceramic. Trimmer Capacitors AT 9401G ROHS Series. Datasheet. Temex-Ceramics. 5. Non Magnetic, High Voltage PTFE Dielectric Trimmer Capacitors. Datasheet. Temex-Ceramics. 6. Non Magnetic, Sapphire Dielectric Trimmer Capacitors. Datasheet. Temex-Ceramics.

После более чем 10 лет путешествия в космическом пространстве космический зонд «Розетта» достиг кометы 67 Р/Чурюмова– Герасименко. В ноябре 2014 года впервые в истории космических полетов спускаемый аппарат коснется поверхности кометы, имеющей диаметр всего 4 км. Это достижение можно считать инженерным подвигом, а коллекторные двигатели производства maxon motor (Швейцария) стали его частью. Программа исследований кометы включает облет, картографирование и сбор различной информации. Уже первые полученные изображения продемонстрировали необходимость новых подходов и оценок: комета не является круглой или овальной, выглядит как два камня, скрепленных вместе. Температура поверхности составляет –70 °C, что намного теплее, чем ожидалось, комета покрыта слоем черной пыли. Зонд «Розетта» будет постепенно приближаться к космическому телу, пока расстояние не уменьшится до 10 км. Самая сложная часть миссии начнется в середине ноября 2014 года, когда космический аппарат «Филы» спустится на поверхность кометы. Это не первый случай, когда микродвигатели из Швейцарии используются в космических аппаратах. Напомним, что космический корабль Dragon SpaceX, перевозящий грузы на МКС, также оснащен двигателями maxon motor. То же самое справедливо и для марсоходов, исследующих поверхность Красной планеты уже много лет. www.aviton.spb.ru

Реклама

компоненты

20

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


компоненты

22

установочные и коммутационные элементы

Компонентная база для АФАР: высокочастотные соединители

Валерий Ефремов v.efremov@ranet.ru

Конструкция АФАР Основными направлениями при построении АФАР являются увеличение количества приемопередатчиков и плотности их компоновки и снижение стоимости изделия за счет высокой интеграции приемопередатчиков в едином модуле. Поэтому наиболее сложными задачами при конструировании АФАР становятся решение проблемы теплоотвода от приемопередатчиков и выбор высокочастотных соединителей для подключения приемопередатчиков к антенным элементам и системе управления. Конструкция АФАР представлена на рис. 1. Фиксированное количество антенных элементов (1) индивидуально подключается к соответствующему количеству приемопередатчиков (2) (по одному приемопередатчику на один антенный элемент), для отвода тепла от которых используется система охлаждения (3). Система управления (4) под-

Рис. 1. Конструкция АФАР

Цель данного цикла статей — обзор схемотехнических решений на основе современных электронных компонентов иностранного и отечественного производства, предоставление рекомендаций по выбору элементной базы при построении активных фазированных антенных решеток (АФАР). Первая статья цикла опубликована в журнале «Компоненты и технологии» № 7’2014 [6]. Настоящая публикация посвящена рассмотрению конструктивных особенностей и эксплуатационных характеристик высокочастотных соединителей, разработку которых осуществило «НПП «Исток» им. А. И. Шокина», а проведение полного объема испытаний и подготовку производства к серийному выпуску с категорией качества ВП выполнили «Радиант-Элком» и Иркутский релейный завод.

ключается к тыльной части приемопередающих модулей, с ней также связана и система охлаждения. За системой управления расположена система (5) адаптивной обработки и формирования моноимпульсного сигнала. Коммутация высокочастотного тракта АФАР осуществляется посредством миниатюрных высокочастотных соединителей с защелкой серии SMP. К соединителям, применяемым в конструкции АФАР, предъявляются следующие требования: • верхняя частота применения: 40 ГГц; • малые габаритные размеры, возможность подключений при межосевом расстоянии между соединителями менее 4,8 мм; • защелкивающийся механизм сочленения; • прочная конструкция, обеспечивающая вибрационные нагрузки не менее 10g и ударные нагрузки не менее 100g; • возможность поверхностного монтажа на печатную плату;

• наличие золотого покрытия для обеспечения высоких экранирующих свойств. В наибольшей степени перечисленным требованиям удовлетворяют соединители серии SMP, входящие в стандарт 94007/94008 DESC и представленные на территории РФ компаниями Rosenberger, Radiall и Carlisle.

Соединители производства Иркутского релейного завода В настоящее время разработаны российские аналоги этих импортных соединителей [1, 2]. Выпуск соединителей с приемкой ОК осуществляет ОАО «НПП «Исток» им. А. И. Шокина» [1]. Серийное производство соединителей с категорией качества «ВП» освоено на предприятии «Иркутский релейный завод» [2]. Основные характеристики соединителей ОАО «Иркутский релейный завод» приведены в таблице 1. На рис. 2 показан внешний вид соединителей, на рис. 3 — их конструкция. Сегодня соединители выпускаются в следующих вариантах: розетка кабельная прямая/угловая под монтаж на кабель РК50-1,2-22, РК50-1-23, РК50-1-24 методом пайки, вилка приборная герметичная, переход герметичный «вилка-вилка», переход «розетка-розетка». Отдельного внимания заслуживает переходник «розетка-розетка», так называемый Bullet, одной из задач которого является передача сигнала между печатными платами при их параллельном расположении относительно друг друга. Возможно производство переходников с индивидуальной длиной корпуса, что позволит обеспечить необходимое расстояние между печатными платами.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


установочные и коммутационные элементы

Таблица 1. Основные характеристики соединителей Наименование соединителей

Условное обозначение соединителя

Розетка кабельная прямая Розетка кабельная прямая Розетка кабельная прямая Розетка кабельная угловая Розетка кабельная угловая Розетка кабельная угловая Розетка кабельная прямая Розетка кабельная прямая Розетка кабельная прямая Вилка приборная герметичная Розетка межплатного соединения Переходник герметичный (адаптер)

СР-50-969ФВ-00 СР-50-969ФВ-01 СР-50-969ФВ-02 СР-50-970ФВ-00 СР-50-970ФВ-01 СР-50-970ФВ-02 СР-50-971ФВ-00 СР-50-971ФВ-01 СР-50-971ФВ-02 СРГ-50-972В СР-50-968ФВ СРГ-50-974В

а

Предельная рабочая частота, ГГц

Коэффициент стоячей волны по напряжению (КСВН), не более

Потери прямые, дБ, не более

Экранное затухание, дБ, не менее

26,5

1,6

0,55

–65

26,5

1,5

0,5

–65

40

1,6

0,55

–65

18 18 18

1,35 1,6 1,35

0,35 0,6 0,35

–65 –65 –65

в

б

г

д

е

Рис. 2. Внешний вид соединителей: а) СР‑50-968ФВ — переход «розетка-розетка»; б) СР‑50-969ФВ‑00, СР‑50-969ФВ‑01, СР‑50-969ФВ‑02 — розетка кабельная прямая; в) СР‑50-970ФВ‑00, СР‑50-970ФВ‑01, СР‑50-970ФВ‑02 — розетка кабельная угловая; г) СР‑50-971ФВ‑00, СР‑50-971ФВ‑01, СР‑50-971ФВ‑02 — розетка кабельная прямая; д) СРГ‑50-972В — вилка приборная герметичная; е) СРГ‑50-974В — переходник герметичный (адаптер)

компоненты

23

характеристиками и высокой надежностью. Соединители успешно прошли контроль на соответствие требованиям надежности путем проведения кратковременного и длительного испытаний на безотказность и испытания на сохраняемость в соответствии с ГОСТ РВ 20.57.414. Расчетный срок службы соединителей составляет 25 лет, при этом они выдерживают 5000 часов воздействия температуры +155 °С. Количество циклов сочленения достигает 100. Таким образом, в результате работы, проделанной специалистами ФГУП «НПП «Исток», «Радиант-Элком» и ОАО «Иркутский релейный завод», на отечественном рынке высокочастотных соединителей появился продукт российского производства, рассчитанный на применения в том числе в радарной технике, в частности в системах АФАР, обладающий высокими эксплуатационными характеристиками и малыми массогабаритными параметрами. Эта работа выполнялась в рамках программы импортозамещения с целью снижения зависимости военной техники от элементной базы импортного производства.

Кабельная продукция Carlisle

в

а

б Рис. 3. Конструкция соединителей: а) розетка кабельная прямая КАПД.434511.020; б) переходник герметичный (адаптер) КАПД.434511.021; в) переход «розетка-розетка» КАПД.434511.023

Соединители имеют высокую механическую прочность, что позволяет их эксплуатацию в составе бортовых АФАР летной техники военного назначения. Допустимые синусоидальные вибрационные нагрузки составляют 10g в диапазоне частот 50–2000 Гц, допустимые ударные нагрузки достигают 40g. Корпуса негерметичных соединителей этой серии изготавливают из бронзы БрБ2 по ГОСТ 15834, для улучшения экранирующих свойств на корпуса наносится золотое покрытие. Корпуса герметичных со-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

единителей изготавливают из сплава 29 НК по ГОСТ 10994. Герметизация соединителя осуществляется за счет металлостеклянного спая со степенью натекания гелия 1,310–11 м3·Па/с (110–7 л·мкм рт. ст./с). Одной из главных задач в рамках работы по проектированию российских аналогов соединителей SMP было создание миниатюрных высокочастотных соединителей для применения в радарной технике с высокой плотностью компоновки, обладающих необходимыми эксплуатационными

Следует отметить, что соединители под монтаж на кабель обеспечивают определенную гибкость при выборе кабельной продукции, соединители поддерживают как монтаж на кабель отечественного производства, так и на зарубежные полужесткие коаксиальные кабели диаметром 0,047″ и 0,086″. Одним из крупнейших производителей радиочастотных кабелей является компания Carlisle. Кабели изготовлены по технологии Semi-Flex, то есть при изгибании вручную кабель сохраняет свою форму. Это свойство кабеля Carlisle выгодно отличает продукцию от прочих полужестких коаксиальных кабелей, для изгиба и формовки которых требуется специальный инструмент. Внешний проводник кабелей включает плетенку с медной жилой и покрытие оловом. Наряду с особенностью конструкции внешнего проводника за счет внутренних слоев медной фольги, центрального проводника и диэлектрика с полужесткой структурой обеспечиваются высокие эксплуатационные характеристики и радиогерметичность кабельной продукции Carlisle, выгодно отличающие ее

Рис. 4. Кабельная сборка на основе кабеля Semi-Flex компании Carlisle

www.kite.ru


компоненты

24

установочные и коммутационные элементы

Таблица 2. Характеристики кабелей Carlisle Максимальная КСВН на максимальной рабочая частота, ГГц частоте

Тип кабеля

Серия

Тип изоляции

.047 Semi-Flex

604

Нет*

20

1,50:1

600

Нет*

40

1,38:1

620

Полиуретан

26,5

1,17:1

650

Фторированный этилен-пропилен (FEP)

18

1,17:1

.086 Semi-Flex

Поддерживаемые серии соединителей SMP, SSMP, SMA, MMCX SMP, SSMP, SMA, K, MCX, TNC, N, BMA

Примечание. * Только внешний проводник из оплетки с медной луженой жилой.

от конкурирующих изделий. Технические характеристики полужестких коаксиальных кабелей Carlisle приведены в таблице 2. Внешний вид кабеля Semi-Flex, армированного соединителями, показан на рис. 4.

Миниатюрные комбинированные соединители с силовыми контактами серии MicroD В рамках данной статьи вкратце рассмотрим миниатюрные комбинированные соединители с силовыми контактами серии MicroD, параметры которой регламентированы стандартом MIL-DTL‑83513 (внешний вид соединителей серии MicroD представлен на рис. 5). Эти соединители получили широкое применение в бортовых радарах за счет своих малых габаритов и высокой плотности компоновки контактов (шаг контактов 1,27 мм). В России соединители этой серии представлены производителями Glenair, Amphenol. Соединители MicroD имеют прямоугольный форм-фактор, что обеспечивает плотную компоновку, снабжены фрезерованными корпусами из алюминия или нержавеющей стали. В соединителях серии MicroD производства компании Glenair используются штыревые контакты уникальной конструкции TwistPin, особенностью которых являются малое электрическое сопротивление за счет большой площади контактирования штыря и гнезда и высокая вибростойкость. Изображение контакта

новости

TwistPin представлено на рис. 6. Высокие эксплуатационные характеристики контакта достигаются за счет его конструкции, которая представляет собой переплетение проволок из бериллиевой меди с золотым покрытием с утолщением в центральной части, создающим эффект пружины при стыковке с гнездом. Параметры соединителей MicroD представлены в таблице 3.

Заключение

Рис. 5. Соединители серии MicroD

Отдельного внимания заслуживает тема организации системы питания АФАР, которая будет представлена в нашей следующей статье по данной тематике. n

Литература 1. www.radiant.su 2. Джуринский К. Б. Миниатюрные коаксиальные радиокомпоненты для микроэлектроники СВЧ. Соединители, коаксиально-микрополосковые переходы, адаптеры, СВЧ-вводы, низкочастотные вводы, изоляционные стойки, фильтры помех. М.: Техносфера. 2006. 3. Патент EP 0 621 654 A2, авторство Conrad, John C., Tomanek, Robert L., Boland, Timothy L. 4. www.glenair.com 5. www.carlisleit.com 6. Павлов С., Филиппов А. Антенные фазированные решетки. Обзор компонентной базы для реализации приемопередающих модулей // Компоненты и технологии. 2014. № 7.

Рис. 6. Контакт TwistPin

Таблица 3. Характеристики соединителей серии MicroD Параметр

Значение

Рабочая токовая нагрузка на контакт (при –50…+150 °С)

3 и 13 А

Рабочее напряжение

600 В на уровне моря, 150 В на высоте 21 км min 5000 мОм max 8 мОм –50…+150 °С 48 ч 50g 20g 500 2,8 Н на контакт min 65 дБ

Сопротивление изолятора Сопротивление контакта Диапазон рабочих температур Стойкость к соляному раствору Механический удар Синусоидальная вибрация Количество циклов сочленений Усилие сочленения Эффективность экранирования

события

Научно-практический семинар «Испытательные системы для радиоэлектронных производств» ООО «Универсал Прибор» приглашает принять участие в научно-практическом семинаре, посвященном вопросу применения систем испытаний на радиоэлектронных предприятиях. Время проведения: 26 сентября 2014 года. Место проведения: Санкт-Петербург, отель Mariott Courtyard (2‑я линия В. О., 61/30а), конференц-зал «Москва 1+2». На мероприятии будут представлены последние достижения в сфере испытательного оборудования. В рамках семинара будут освещены такие темы, как современные системы механических испытаний электротехнических изделий, высокотехнологичные испытательные камеры российского произ-

водства. С обзором климатических камер Tira выступит специальный гость Валентина Неринг. По окончании семинара все участники получат именные сертификаты. Откроет мероприятие выступление генерального директора компании ООО «Универсал Прибор» Рубена Викторовича Оганяна, он также проведет краткий экскурс по направлениям деятельности компании, расскажет о ее достижениях. Для участия в семинаре необходимо отправить запрос на почту marketing@pribor.ru или reklama@pribor.ru, либо позвонить по телефону: (812) 334-55-66 (доб. 205). www.pribor.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама

Реклама

25

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


компоненты

26

фильтры

Помехозащитные фильтры Tusonix

Юрий Петропавловский

К

омпания CTS Corporation (г. Элкхарт, штат Индиана, США) имеет долгую историю, начало которой положили отец и сын Бриггс (A. J. and George A. Briggs) с о в м е с т н о с С .  А .   Б а ф ф и н г т о н о м (S. A. Buffington), основавшие в 1896 году в Чикаго компанию по производству телефонных аппаратов и коммутаторов Chicago Telephone Supply Company. В 1902 году семья Бриггс нашла подходящее помещение для фабрики в небольшом городке Элкхарт недалеко от Чикаго, где кроме телефонов выпускали телефонные коммутаторы вплоть до 1940 года. Во время бума радиовещания 20‑х годов компания изготавливала различные детали для радиоприемников (гнезда, вилки, переменные резисторы и т. п.), а в период бурного развития телевидения — детали и узлы для телевизоров. В начале 1960‑х компания изменила название на современное, разместила свои акции

Рис. 1. Пол Э. Снайдер (Paul E. Snyder)

В январе 2008 года компания CTS Corporation объявила о приобретении известного изготовителя помехозащитных фильтров, керамических конденсаторов и других электронных компонентов для военных, промышленных, измерительных и телекоммуникационных приложений — компании Tusonix, располагающей производством в Тусоне (Аризона, США) и Ногалесе (Мексика). Сама CTS Corporation является ведущим разработчиком и производителем электронных компонентов и датчиков, а также поставщиком услуг для OEM-производителей в автомобильной, компьютерной, телекоммуникационной, аэрокосмической, промышленной и оборонной отраслях. Хотя CTS владеет рядом технологий изготовления однослойных и многослойных керамических структур, приобретение Tusonix позволило ей расширить номенклатуру предлагаемых продуктов и услуг, а также клиентской базы, обеспечив при этом существенный синергетический эффект от этого приобретения [1].

на Нью-Йоркской фондовой бирже и вывела свою продукцию за рамки рынка бытовой электроники. В номенклатуру выпускаемых электронных компонентов вошли кварцевые фильтры и генераторы, селекторы ВЧ-каналов, динамические головки громкоговорителей, переменные и постоянные резисторы, в том числе запатентованные металлопленочные Cermet, а также гибридные микросхемы. В 70‑е годы компания представила на автомобильный рынок множество датчиков самого различного типа и продолжает это направление до настоящего времени [2]. Рост компании осуществлялся как за счет создания новых предприятий и представительств за рубежом, так и за счет приобретения профильных компаний и активов. Например, в конце 1990‑х произошло слияние с компанией Dynamic Corporation of America (DCA), что значительно укрепило позиции CTS как ведущего производителя электронных компонентов, приобретение отделения Component Product Division компании Motorola вывело CTS на быстрорастущий рынок сотовых телефонов и телекоммуникационного оборудования. Промышленные и бизнес-направления деятельности компании были расширены с приобретением SMTEK International, Ink. и Orion Manufacturing, Inc. [3]. Компанию Tusonix основал в 1981 году Пол Э. Снайдер (Paul E. Snyder, 1929–2009 гг., рис. 1), долгие годы являвшийся ее президентом и главным исполнительным директором. Компания признана мировым лидером в разработке и производстве (теперь в составе CTS) компонентов для подавления

электромагнитных излучений и радиопомех (EMI/RFI). Компания выпускает миниатюрные керамические фильтры и конденсаторы для применения в областях телекоммуникаций, кабельного телевидения, телеметрии, радиолокационном оборудовании, высокочастотных переключателях, военной аппаратуре и промышленном оборудовании. В продуктовом портфеле Tusonix имеются также керамические конденсаторы переменной емкости, клеммные колодки со встроенными помехозащитными фильтрами, керамические резонаторы для использования в генераторах и другие продукты на основе керамики [4, 5]. В каталоге CTS 2014 года представлены следующие категории продуктов Tusonix: • фильтры электромагнитных и радиопомех (EMI-RFI) выводные; • П‑фильтры (EMI-RFI) в SMD-исполнении; • подстроечные конденсаторы; • EMI-RFI фильтр-сборки; • клеммные колодки; • дисковые керамические конденсаторы; • керамические резонаторы. Борьба с излучаемыми радиоэлектронной аппаратурой электромагнитными помехами (ЭМП) и ее защита от внешних электромагнитных излучений являются важнейшими задачами при проектировании различной радиоэлектронной аппаратуры, в том числе СВЧ и в особенности изделий микроэлектроники СВЧ. В настоящее время проблема ЭМП стала еще более актуальной вследствие возрастания функциональных возможностей, миниатюризации, увеличения плотности компоновки и сложности аппарату-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


фильтры

ры, роста взаимного влияния ее элементов. Наиболее опасными являются кондуктивные помехи, распространяющиеся по цепям питания, управления и коммутации, а также в цепях полезных относительно низкочастотных сигналов. Основным средством защиты от ЭМП, распространяющихся по цепям питания, управления и коммутации, являются помехоподавляющие фильтры нижних частот, защищающие аппаратуру от внешних и внутренних помех, а сети питания — от генерируемых аппаратурой излучений. Помехозащитные фильтры, используемые в СВЧ-блоках, модулях и микросборках, отвечают следующим основным требованиям: • достаточно высокий уровень затухания, вносимый фильтрами в широком диапазоне частот (до 18 ГГц и выше); • герметичность, определяемая скоростью натекания на уровне 10–9–10–11 м3·Па/с; • возможность пайки фильтров при температуре не ниже +260 °C без нарушения конструкции и ухудшения их параметров; • вибростойкость и ударопрочность; • широкий диапазон рабочих температур и влажностей; • миниатюрность (для СВЧ-микросборок и модулей — диаметр не более 4 мм, длина не более 10 мм). Tusonix выпускает керамические помехозащитные фильтры, предназначенные для применения в радиоэлектронной аппаратуре самого различного назначения, в том числе в СВЧ-модулях и сборках. Фильтры представляют собой сочетание емкостных и индуктивных элементов, соединенных по С‑, L‑ и Pi-схемам (рис. 2). Конденсаторы, включенные параллельно проходящему сигналу, а

б

в

Рис. 2. Схемы фильтров: а) С‑типа; б) Pi-типа; в) L‑типа

обеспечивают низкое сопротивление для ВЧ-помех, закорачивая их на корпус, а индуктивности, включенные последовательно, имеют для этих помех высокое сопротивление. Тщательный выбор значений емкостей и индуктивностей фильтров позволяет эффективно фильтровать помехи и шумы в диапазоне от низких частот (единицы мегагерц) до СВЧ. С‑фильтры часто называют проходными конденсаторами. Основными показателями эффективности фильтра являются частота среза, на которой вносимое затухание равно 3 дБ, и величина вносимого затухания в заданном диапазоне частот. Регламентированную величину вносимого затухания обычно определяют в 50‑омной измерительной схеме, при вклю-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

компоненты

27

Таблица. Основные параметры фильтров Tusonix Напряжение питания, В Тип 4300-005 4300-055 4300-006 4300-013 4300-014 4300-003 4300-053 4300-004 4300-054 4300-680 4300-008 4300-009 4300-000 4300-050 4300-001 4300-051 4300-007 4300-002 4300-052 4100-003 4101-505 4151-000 4151-500 4151-001 4151-501 4151-003 4100-000 4101-000 4101-002 4101-003 4101-004 4101-502 4101-503 4101-500 4100-056 4100-002 4101-001 4101-501 4100-500 4100-057 4102-000 4100-053 4101-504 4106-000 4106-001 4700-006 4700-009 4700-005 4700-003 4700-008 4701-002 4701-001 4702-000 4702-001 4702-002 4702-003 4702-004 4702-005 4700-059 4700-058 4700-053 4700-056

Style 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 13 3 14 5 15 12 7 20 2 4 6 16 16 8 9 1 17 17 18 9 10 9 19 11 11 квадратный квадратный квадратный квадратный квадратный квадратный квадратный квадратный квадратный квадратный квадратный квадратный квадратный круглый круглый круглый круглый

Схема С С С С С С С С С С С С С С С С С С С Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi Pi С С С С С С Pi Pi Pi Pi

+85 °С

+125 °С

70 70 70 70 50 150 150 150 150 300 300 300 300 300 300 300 300 300 300 125

50 50 50 50 50 100 100 100 100 200 200 200 200 200 200 200 200 200 200 50 70 70 70 70 70 70 125 125 125 125 125 125/90 AC 125/90 AC 125 200 200 200 200/140 AC 200 200 200 200

100 100 100 250 250 250 250 250

250 AC 350 350

250 AC 350 250 AC 200 500/350 AC 500/350 AC 1000 500 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100

чении фильтров в реальные устройства величина затухания, естественно, будет отличаться от регламентированной. Фильтры (и другие изделия), приводимые в общих каталогах Tusonix, охватывают только часть из нескольких тысяч различных типов и исполнений продуктов, выпускаемых компанией. Более подробные перечни и технические характеристики компонентов предоставляются покупателям по прямым запросам. Качество продуктов Tusonix со-

Емкость, пФ 10 000 10 000 15 000 27 000 50 000 2700 2700 5000 5000 5 10 25 100 100 500 500 1000 1200 1200 3000 1500 12 000 12 000 22 000 22 000 60 000 1500 1750 1750 1750 1750 1750 1750 1750 1000 1500 1500 1500 1500 1500 3000 5000 5500 3000 4500 100 470 1000 2000 4000 6800 8200 100 470 1000 1500 2500 4000 100 1000 2000 5000

Затухание без нагрузки по MIL-Std-220, дБ 1 МГц

10 МГц

100 МГц

1 ГГц

10 ГГц

4 4 7 10 15

21 21 20 28 35 10 10 15 15

35 35 35 42 45 25 25 30 30

50 50 55 65 70 40 40 45 45

60 60 60 65

5 10 20 20 35 35 35 35 35 65 65 65

20 25 28 28 40 40 45 45 45 60 65 65 65 70 70 70 60 50 60 60 60 60 60 50

5 5 5 7 25 22 35 25 60 6 5 5 5 5

5 3 5 3 3 5 5 8 18 15 8 1 7 10 13 16 20

3 5 10 15 7 10 15

3 3 15 15 20 20 20 50 50 70 70 70 70 75 45 35 50 50 50 50 50 35 20 25 45 45 45 45 55 60 55 50 50 3 16 40 45 52 60 65 1 12 20 22 25 30 3 40 45 55

70 70 75 60 50 60 60 60 60 60 50 55 60 70 70 70 70 65 70 70 70 70 16 40 65 70 70 70 70 19 27 35 37 40 45 16 65 70 70

MILPRF-15733

50 50 55 55

60 70 70

/62-0003 /62-0004 /51-0002

/33-0001 /33-0002 /66-0001

/62-0001 /62-0002

65 70 50 70

/51-0001

ответствует жестким мировым стандартам, в том числе MIL-PRF‑15733, MIL-PRF‑81, MIL-C‑11015, и контролируется лабораторией качества компании. Миниатюрные цилиндрические С‑фильтры серии 4300 в корпусах для пайки выпускаются в трех вариантах (Style 1, 2, 3), отличающихся материалом диэлектрика в торцах корпуса — стекло или эпоксид, размеры корпуса 2,79∅3,96 мм, диапазон рабочих температур –55…+125 °C. www.kite.ru


28

компоненты

фильтры

а

а

б

б

Рис. 3. Фильтр серии 4300 Style 1

Фильтры этой серии отлично подходят для приложений, критичных к размерам и качественным характеристикам, в том числе для СВЧ-генераторов, аттенюаторов, малошумящих усилителей и фильтров. Золотое покрытие корпуса и выводов фильтров способствует обеспечению высокой долговременной стабильности проводящего слоя и надежности. Фильтры серии 4300 рассчитаны на максимальный проходной ток 5 А и рабочие напряжения 50–300 В (в зависимости от типа). Номинальные емкости фильтров находятся в диапазоне 5 пФ – 0,05 мкФ, при этом с увеличением емкости допустимое рабочее напряжение уменьшается. Исполнения с окончанием LF в наименовании фильтров удовлетворяют требованиям директивы RoHS, основные параметры фильтров приведены в таблице. На рис. 3 показан внешний вид приборов варианта 1 (Style 1) с эпоксидной изоляцией верха корпуса (низ со стеклянной изоляцией). В вариантах 2 (Style 2) использована эпоксидная изоляция низа корпуса, в вариантах 3 (Style 3) — оба торца с эпоксидной изоляцией. Для производств, требовательных к скорости монтажа, Tusonix выпускает фильтры в корпусах, предназначенных для запрессовки в конструкции узлов с помощью специальных приспособлений, поставляемых компанией. Метод запрессовки исключает весьма трудоемкую пайку корпусов фильтров в конструкции СВЧ-модулей. Миниатюрные цилиндрические фильтры С‑типа в исполнениях для запрессовки изготовляются в корпусах размерами 2,79∅3,96 мм (серия 4303, рис. 4а) и 2,79∅3,71 мм (серия 4306, рис. 4б). Электрические и эксплуатационные параметры фильтров этой серии в основном сходны с параметрами приборов серии 4300 для соответствующих номиналов емкостей. Значительно более широкую номенклатуру продуктов в корпусах для пайки компания выпускает в категории Pi-фильтров — 20 конструктивных вариантов (Style 1 – Style 20),

Рис. 4. Миниатюрные цилиндрические фильтры С‑типа в исполнениях для запрессовки: а) серия 4303; б) серия 4306

отличающихся большими величинами вносимого затухания. В эту категорию входят приборы серий 4100, 4101, 4102, 4106, 4151. Варианты отличаются конфигурациями выводов и размерами корпусов и могут устанавливаться как в блоки и переборки конструкций, так и в СВЧ-модули. Внешний вид фильтров типа 4100-003LF (Style 1) показан на рис. 5а, 4106-000LF (Style 11) — на рис. 5б. Максимальный ток для большинства фильтров ограничивается 10 А, некоторые типы допускают ток 15 А (4100-003, 4100-002) и 25 А (4106-00б 4106-001). Многие фильтры соответствуют жестким требованиям по уровню защиты от внешних воздействий стандартов семейства MIL-PRF‑15733, основные параметры ряда фильтров этой категории также приведены в таблице. Компания выпускает обширную номенклатуру фильтров типов С‑, Pi-, L‑ с резьбовым креплением, используемая резьба: • #4-40 UNC‑2A, #6-32 UNC‑2A, #8-32 UNC‑2A — крупные дюймовые; • #6-40 UNF‑2A, #8-36 UNF‑2A, #12-28 UNF‑2A, #12–32 UNEF‑2A, 1/2-28 UNF‑2A, 5/16-24 UNF‑2A, 5/16-32 UNEF‑2A — мелкие дюймовые; • М3, М5 — метрические. В каталогах компании к данной категории отнесены следующие серии продуктов:

Рис. 5. Pi-фильтры в корпусах для пайки: а) серия 4100-003LF Style 1; б) серия 4106-000LF Style 11

• 4400 (типы C, L), 4403 (C), 4261, 4200 (Pi) — более 60 фильтров в двух вариантах (Style 1, 2), выполненных в корпусах с дюймовой резьбой 4–40 UNC‑2A (гайки прилагаются к каждому поставляемому фильтру). Размеры корпусов 8,643,96 мм (Style 1), 5,473,18 мм (Style 2). Фильтры С‑ и L‑типов рассчитаны на ток до 10 А, Pi-типа — до 3 А, рабочие напряжения 50–300 В, номиналы емкостей от 5 пФ до 0,05 мкФ, параметры затухания примерно соответствуют параметрам описанных выше фильтров в корпусах для пайки при одинаковых значениях емкостей. На рис. 6а показан внешний вид фильтра 4400-038 (С‑тип, Style 1), на рис. 6б — 4400-057LF (L‑тип, Style 1), на рис. 6в — 4403-039LF (C‑тип, Style 2). • 4200 (Pi-тип) — 3 типа фильтров, выполненных в корпусах с мелкой дюймовой резьбой #6-40 UNF‑2A, рабочее напряжение 300 В (+85 °C), ток 10 А, емкости 1500 пФ и 3000 пФ. • 4260 (Pi-тип), 4402 (C, L) — более 10 типов фильтров в пяти конструктивных вариантах (Style 1 – Style 5), выполненных в корпусах с дюймовой резьбой #6-32 UNC‑2A. Рабочие напряжения фильтров от 50 до 400 В, ток 3 А (Pi-тип) и 10 А (С, L типы), емкости от 5 пФ (про-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


фильтры

а

компоненты

29

в

б

Рис. 6. Внешний вид фильтра серии: а) 4400-038 Style 1; б) 4400-057LF Style 1; в) 4403-039LF Style 2

Рис. 7. Внешний вид фильтра серии 4402-018

ходной изолятор) до 0,1 мкФ, на рис. 7 показан внешний вид фильтра 4402-018 (0,1 мкФ, С‑тип, затухание более 40 дБ на частотах выше 10 МГц). • 4201, 4205, 4251 (Pi-тип), 2425, 4404 (С-, L-типы) — более 35 типов фильтров в 15 конструктивных вариантах (Style 1 – Style 15), выполненных в корпусах с дюймовой резьбой #8-32 UNC‑2A. Рабочие напряжения 50–250 В, ток 10/15/20 А, емкости от 65 пФ до 0,1 мкФ. • 4401, 2499 (С‑тип), 4202, 4204 (Pi-тип) — более 25 типов фильтров в 5 конструктив-

ных вариантах, выполненных в корпусах с мелкой дюймовой резьбой #8-36 UNF‑2A. Рабочие напряжения от 50 до 500 В (серия 2499), ток 10 А и 20 А (серия 2499), емкости от 5 пФ до 0,05 мкФ. • 4202, 4203, 4207, 4253 (Pi-тип), 2452 (С‑тип) — более 20 типов фильтров в 5 конструктивных вариантах, выполненных в корпусах с мелкой дюймовой резьбой #12–32 UNEF‑2A. Рабочие напряжения 70–500 В, ток 10/15/20 А, емкости от 100 пФ до 0,05 мкФ. • 4400 (С‑тип), 4209 (Pi-тип) — более 10 типов фильтров в 3 конструктивных вариантах, выполненных в корпусах с метрической резьбой М3, М5. Рабочие напряжения 50–500 В, ток 10 А, емкости от 5 пФ (проходной изолятор) до 0,05 мкФ. Отличные характеристики имеют бескорпусные помехоподавляющие фильтры Tusonix, предназначенные для установки на печатные платы. Компания выпускает фильтры этой категории с квадратным сечением (Pi-тип, серия 4700; С‑тип, серия 4702) и круглым сечением (серия 4701, Pi-тип). Эффективность подавления помех SMD-фильтров существенно выше, чем обеспечивают фильтрующие конденсаторы с одинаковыми емкостями. На рис. 8 приведены зависимости затухания SMD-фильтров и фильтрующих конденсаторов от частоты, как видно из рисунков, за-

а

Рис. 9. Внешний вид SMD-фильтра

тухание фильтров на 10–30 дБ больше, чем обеспечивают конденсаторы сопоставимой емкости. Размеры фильтров с квадратным сечением (рис. 9) 82,292,29 мм, с круглым сечением 10∅2,2 мм (серии 4700, 4702) и 102,292,29 мм (серия 4701). Индуктивность Pi-фильтров не менее 100 нГн, допустимый ток 10 А, допустимое рабочее напряжение 100 В, основные параметры SMD-фильтров также приведены в таблице. Для подавления электромагнитных излучений сразу в нескольких подводящих цепях удобно осуществлять их подключение через терминальные (или барьерные) фильтрующие блоки (рис. 10), устанавли-

б

Рис. 8. Зависимость вносимого затухания: а) фильтров от частоты; б) конденсаторов от частоты

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


фильтры

Рис. 10. Клеммные колодки Рис. 11. Клеммная колодка 7602-604NLF

ваемые на конструкционных частях и панелях аппаратуры различного назначения. Фильтрующие блоки (или клеммные колодки) Tusonix, в том числе соответствующие требованиям UL (UL Recognized), предназначены для широкого круга приложений: для промышленной автоматики, телекоммуникационной аппаратуры, компьютеров и периферии, источников питания, офисного и лабораторного оборудования, военной аппаратуры и других приложений. Терминальные фильтрующие блоки компании представлены в сериях 7602–7610 тремя семействами из 9 типов каждое, отличающимися числом контактов.

• UL Recognized с фильтрами Pi-типа серий 7602/7610-501 (LF, NLF) соответствуют UL Standard 1283, 1059. Блоки содержат от двух (7602) до 10 (7610) одинаковых фильтров, обеспечивающих затухание 50–65 дБ на частотах 100 МГц — 10 ГГц (5 дБ на частоте 10 МГц). Диапазон рабочих температур –40…+105 °C, рабочее напряжение до 250 В AC, ток до 20 А, емкость фильтров 2000 пФ. • Блоки с фильтрами Pi-типа серий 7602/7610-551 (LF, NLF) отличаются от предыдущей серии меньшим рабочим напряжением (100 В DC) и емкостями

фильтров (2500/5000 пФ), другие параметры совпадают. • UL Recognized с фильтрами С‑типа на ток 30 А серий 7602/7610-602 (LF, NLF), на рис. 11 показан внешний вид блока 7602-604NLF. Блоки также содержат от 2 до 10 фильтров, обеспечивающих затухание 45–70 дБ в диапазоне 100 МГц – 10 ГГц (28 дБ на частоте 10 МГц). Эксплуатационные характеристики такие же, как у описанных выше блоков, основные параметры: рабочее напряжение 150 В, емкость 15 000 пФ, проходное сопротивление менее 10 мОм. n

Литература 1. http://www.ctscorp.com/publications/press_ releases/nr080124.htm 2. http://www.ctscorp.com/about/beginnings.htm 3. http://www.fundinguniverse.com/companyhistories/cts-corporation-history/ 4. http://www.legacy.com/obituaries/tucson/ obituary.aspx?pid=135114733 5. http://www.smtnet.com/company/index. cfm?fuseaction=view_company&company_ id=43172 6. http://www.ctscorp.com/default.htm 7. http://ptelectronics.ru/brand/tusonix/

Реклама

компоненты

30

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


32

новости

события

Итоги Международной конференции по производству печатных плат

В Москве в конце июня прошла XII Международная конференция «Основные направления развития технологий, оборудования и материалов для производства печатных плат». Деловую часть мероприятия открыл Александр Акулин, технический директор компании «ПСБ Технолоджи», зачитавший доклад о технологических тенденциях. По его словам, сложность печатных плат (ПП) с каждым годом растет: все больший процент приходится на изделия с алюминиевым и медным ядром, с глухими пазами (для установки бескорпусных элементов). Распространяется методика прямого прессования без препрегов: СВЧ-материалы кладутся один на другой и при повышенной температуре прессуются — в результате получается многослойная плата. Другая любопытная практика последнего времени — впрессовка «медной монеты» — позволяет увеличить теплоотвод, что очень актуально для силовых микросхем. Темой выступления Владимира Макарова, генерального директора NCAB Group Russia, стал мировой рынок печатных плат. По его словам, общий объем производства за 2013 год превысил $60 млрд. Был затронут и вопрос экологии: рост природоохранных норм и требований нешуточно сказывается на выпуске ПП. Как следствие, в Китае введены серьезные ограничения на открытие производств. Что касается дальнейшего роста отрасли, ближайшие два-три года производство плат и подложек будет увеличиваться. Драйверами развития названы миниатюризация компонентов и робототехника. Презентация Сергея Савенко касалась реализации проектов: он рассказал о решениях «Петрокоммерц» в области модернизации производства печатных плат и очистных сооружений. О технологических процессах шла речь в докладах Сергея Кочеткова и Андрея Демидова («РТС Инжиниринг»). Первый описал химикаты и концентраты для изготовления ПП. Второй рассказал об опыте российского предприятия в области создания гальванических линий. Вс лед за ро сси йск ими спец иа лист ами взяли слово иностранные коллеги. Бернд Геннат (Bernd Gennat) из американской DIS

Technologies зачитал доклад о системах совмещения при производстве ПП, напомнив, что компания продвигает бесштифтовую методику, считая ее наиболее перспективной и экономичной. Доминик Милле (Dominic Millet) из XACT PCB поделился опытом о том, как избежать рассовмещения при производстве ПП. Для этой цели компания разработала систему Gemini X, отслеживающую технологические ошибки на разных этапах изготовления, а также позволяющую давать «интеллектуальный прогноз». Как следствие, ее применение поможет улучшить выход годных и повысить качество изделий. Дэнни Митенцвай (Danny Mittenzwey) из Atotech подробно описал разработанный германской компанией процесс вертикального химического меднения под названием Printoganth PV. Будучи универсальным, он пригоден как для производства плат с высокой плотностью монтажа, так и многослойных ПП. Пьетро Зулли (Pietro Zulli) представил оборудование для производства ПП компании Pluritec.

Начав свою деятельность в 1968 году, итальянская фирма продала более 4000 установок по всему миру. Совместная презентация «ХИМСНАБ» и MEC Europe была посвящена процессу MECetchBOND CZ. Эта технология позволяет получать уникальную топографию медной поверхности ПП и улучшает механическую адгезию фоторезистов и препрегов. О технологических процессах и оборудовании для изготовления прецизионных ПП обстоятельно рассказал Валентин Терешкин из «СанктПетербургского центра ЭЛМА». Предприятие первым в России создало производство концентратов на базе собственных разработок. Завершило деловую часть выступление Петра Лебедева, директора «Новой Инженерной Школы». Он говорил о планах по запуску системы подготовки инженерно-технических кадров, столь необходимой после вступления России в ВТО. Судя по настроению и отзывам участников, конференция оказалась весьма продуктивной.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


датчики

компоненты

33

Датчики температуры и влажности Honeywell — выбор для системной интеграции

Светлана Сысоева Dr.Gold@sysoeva.com,

Введение В настоящее время имеют особую актуальность системы автоматизации, в которых основными контролируемыми параметрами являются температура и влажность одновременно. Сфера их применения достаточно широка — это автоматизация зданий и промышленных процессов, системы ОВК, холодильное оборудование, медицина, метеорология, теплицы, электронная промышленность и чистые комнаты. В качестве примера можно привести хорошо известные системы отопления, вентиляции и кондиционирования (ОВК), устанавливаемые в жилых домах, офисах, торговых и производственных помещениях [1]. Ключевыми параметрами, контролируемыми в установках систем ОВК, являются температура и влажность. Современные системы ОВК основаны на применении не только высокоточных, но и надежных, а также энерго- и экономически эффективных решений для автоматизации. Датчики температуры в системах ОВК включают: • датчик температуры окружающего (наружного) воздуха; • датчики температуры в обслуживаемом помещении; • датчики температуры в трубопроводах, установленные до и после теплообменника; • датчики температуры воздуха в воздуховодах.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Статья актуализирует задачи развертывания систем автоматизации, в которых ключевыми контролируемыми параметрами являются температура и влажность, соответственно, ключевыми компонентами данных систем становятся датчики температуры и влажности. Компания Honeywell выпускает широкую линейку этих датчиков, обладающих высокой точностью, надежностью и безопасностью. В статье представлен обзор устройств, составляющих данную линейку, и дано обоснование выбора в пользу платиновых резистивных датчиков температуры (RTD) — одной из приоритетных технологий выбора от Honeywell. Другая приоритетная технология от Honeywell — емкостные датчики влажности, в том числе интегрированные с датчиками температуры и интегральными схемами. Системная интеграция, комбинированное использование двух основных типов датчиков — ключ к эффективному функционированию современных систем, высокому уровню технических характеристик, а также надежности и безопасности.

Датчики влажности систем ОВК могут устанавливаться как в вытяжном воздуховоде, так и непосредственно в обслуживаемом помещении. Практически любые современные помещения оборудуются ОВК — офисы и жилые дома, торговые и производственные помещения, а также здания культурного, спортивного, развлекательного назначения. Следует обратить внимание на существование не только стандартных, но и особых условий поддержания микроклимата в бассейнах, ледовых катках или саунах, ванных комнатах, кухнях, банях, прачечных и многих производственных цехах, в которых температура и влажность могут быть значительно выше или ниже, чем в обычных помещениях. Например, для удаления избытка влаги и конденсата в бассейнах используется воздушная вентиляция, которая автоматически включается посредством датчика влажности или точки росы. Одновременно для поддержания постоянного уровня испарений осуществляется контроль температуры воздуха и воды. Контроль микроклимата в современно оборудованных помещениях автоматизирован и реализуется с помощью специально подобранных, рекомендованных или вновь разработанных датчиков температуры и влажности. Весьма актуально применение датчиков температуры и влажности для контроля климата и управления вентиляционным оборудованием в серверных помещениях, в коммутационных шкафах для обнаруже-

ния конденсата, перегрева или начала пожара в системах пожарной сигнализации. Строительство чистых комнат для производства ИС, МЭМС — небольшая по объему, но весьма значимая сфера сбыта датчиков температуры и влажности и интегрирующих их систем ОВК. Большой спектр совместных применений датчиков температуры и влажности существует в современном животноводческом и тепличном хозяйстве. Установка систем контроля температуры и влажности типа ОВК имеет большое значение для подержания необходимого микроклимата на животноводческих фермах и птицефабриках, что немаловажно не только для комфортного содержания животных и птиц, но и для повышения продуктивности данных видов бизнеса. Примеры совместного использования датчиков температуры и влажности включают автоматизацию теплиц, которая значительно отличается от систем ОВК в жилом доме. Как правило, дополнительный подогрев для роста растений не предусмотрен, в теплицах устанавливаются только зональные датчики температуры, контролирующие общую температуру в помещении. Но каждая садовая или огородная овощная культура имеет свои особые оптимальные условия влажности, для контроля которых предназначен целый массив датчиков влажности. Поскольку поддержание влажности в теплицах осуществляется посредством разбрызгивания воды www.kite.ru


34

компоненты

той же температуры, что и в теплице, это создает потребность в дополнительных датчиках температуры разбрызгиваемой воды в емкости для смешивания потоков холодной и горячей воды, с датчиками температуры для автоматического контроля скорости подачи этих потоков. Спектр промышленных применений датчиков температуры и влажности (за пределами ОВК в производственных помещениях) включает контроль процессов сушки, увлажнения, испытаний в климатических камерах, предотвращение образования конденсата, измерение температуры и влаги масла, строительных конструкций, для определения уровня температуры и влажности в любом промышленном помещении и поддержания соответствующего микроклимата на складах, в морозильных камерах, в рефрижераторах, в цехах и установках. Например, датчики температуры и влажности контролируют процесс образования конденсата в компрессорных линиях передачи сжатого воздуха или поддерживают микроклимат в промышленных инкубаторах. Датчики температуры и влажности нужны в пищевой, химической, фармакологической промышленности и многих других отраслях. Так, на мукомольном производстве поточный датчик влажности и расхода зерна измеряет следующие параметры зерна: влажность, расход, натуру, температуру. Необходимость в автоматизации процесса увлажнения зерна перед первой драной системой обусловлена естественными колебаниями влажности исходного сырья в пределах ±(1,5–2)%. Подобный разброс снижает выход муки высшего сорта, приводит к ухудшению хлебопекарных свойств, и все это отрицательно сказывается на рентабельности мукомольного производства. Медицинские применения датчиков температуры и влажности как основных параметров включают CPAP (Continuous positive airway pressure) аппараты для комфортабельной терапии апноэ. CPAP-аппарат представляет собой небольшой компрессор, который подает постоянный поток воздуха под определенным давлением в дыхательные пути через гибкую трубку и герметичную носовую маску. В CPAPаппаратах используются новейшие технологии для улучшения процесса дыхания и снижения уровня шума, в том числе оснащение системой климат-контроля, автоматически обеспечивающей оптимальную температуру и влажность воздуха, подаваемого в маску. Чрезвычайно важной медицинской задачей является проведение искусственной вентиляции легких у новорожденных и недоношенных младенцев, для которой требуется адекватное поддержание температуры и насыщения дыхательной смеси водяными парами на уровне, близком к физиологическому. Это реализуется посредством нагревателей и увлажнителей, чей точный контроль возможен лишь посредством датчиков температуры и влажности.

датчики

Уличные датчики влажности и температуры предназначены для различных систем, в функции которых входит информирование о погодных условиях. Датчики в метеорологическом исполнении служат для получения специализированной информации о метеообстановке в зоне контроля. Метеодатчики определяют: • атмосферное давление; • температуру воздуха, поверхности дорожного покрытия и почвы; • относительную влажность воздуха; • наличие тумана; • силу и направление ветра; • состояние поверхности дорожного покрытия; • выпадение снега, наличие дождя, образование гололеда. Интеллектуальные транспортные системы оснащены автоматизированными дорожными метеосистемами, которые обеспечивают измерение и передачу метео- и других данных в центр для информирования участников дорожного движения о метеоусловиях с помощью цифровых табло, радиоканалов связи и даже управляют системой подачи реагентов на дорожное покрытие. Спектр применений датчиков температуры и влажности по отдельности, вместе и в комбинации с другими типами датчиков сегодня практически неограничен. Датчики температуры применяются повсюду — не только как самостоятельный вид измерений, но и для термокомпенсации основных измерений, термостатирования или терморегулировки. Использование датчиков влажности возможно в любых помещениях и за их пределами, на производственных линиях, где следует поддерживать определенную температуру и уровень влажности. Комбинированное выполнение измерений позволяет более точно оценивать влажность с учетом температуры, снижать производственные, эксплуатационные затраты, занимаемое датчиками место и потребляемую мощность, что в наибольшей степени достигается при объединении датчиков в один корпус. Разработка систем автоматизации, в которых первостепенными контролируемыми параметрами являются температура и влажность, в настоящее время становится весьма актуальной задачей. Ключ к решению данной задачи состоит в правильном выборе и эксплуатации датчиков температуры и влажности, спектр предложений которых от различных производителей непрерывно расширяется, технически совершенствуется и пополняется.

Спектр датчиков температуры и влажности компании Honeywell Один из самых известных в мире производителей сенсорных продуктов компания Honeywell предлагает датчики и переключатели, в том числе обычные, предельные и тумблерные переключатели, реле, датчики

давления, положения, скорости, температуры, влажности, а также компоненты для управления. Общее число наименований продуктов Honeywell на данный момент достигает 50 000 единиц. Датчики, переключатели и компоненты управления Honeywell характеризуются точными спецификациями с высокими показателями, обладают высокой точностью, долговечностью и безопасностью. Применение датчиков Honeywell для клиентов может снизить системные затраты и эксплуатационные расходы. Глобальное присутствие компании способствует тому, чтобы клиенты могли без особых сложностей приобрести по цене, одной и той же для большинства стран мира, любые компоненты и получить незамедлительную техническую поддержку. Опыт Honeywell в аэрокосмической и оборонной промышленности, транспорте, медицинских и промышленных отраслях означает наличие продуктов и решений для широкого спектра применений в виде впечатляющих линек готовой продукции и клиентских решений на заказ. У клиентов всегда имеется возможность использовать компоненты Honeywell в собственных индивидуально разрабатываемых решениях, отвечающих всем требованиям мирового уровня в отношении характеристик, надежности и безопасности. Сегодня Honeywell предлагает широкий диапазон готовых к эксплуатации датчиков и сенсорных компонентов практически для любого применения в системах ОВК и многих других [1–7]. Среди них большой ассортимент датчиков температуры.

Датчики температуры от компании Honeywell В составе продукции Honeywell имеется широкий спектр датчиков температуры. Полная линейка компонентов, предлагаемых компанией для измерения температуры и интеграции в клиентские системы, включает: • дискретные RTD; • дискретные и закорпусированные RTD; • корпусированные датчики; • дискретные термисторы. Датчики температуры Honeywell различаются по материалу исполнения чувствительного элемента: с полупроводниковым чувствительным элементом или датчики с металлическим чувствительным элементом. Среди них выделяются также дискретные и корпусированные датчики. Типы корпусирования включают пластиковые/керамические корпуса, миниатюризированные корпуса для поверхностного монтажа или с выводами для монтажа на платах. Потенциальные применения датчиков температуры, рекомендованные Honeywell, предусматривают: ОВК, полупроводниковую защиту, генерацию мощности, гидравлические системы, торговые автоматы, тепловое управление, температурную компенсацию.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


датчики

Сравнение различных типов датчиков температуры Спектр современных применений датчиков температуры стремительно расширяется за счет растущего числа офисных и медицинских применений, а также бытовой электроники. Низкотемпературные применения предполагают, в частности, контроль складского оборудования хранилищ продуктов питания и лекарств, сжиженных газов, лабораторные исследования. Известны различные виды датчиков температуры: терморезистивные датчики, термисторы, полупроводниковые датчики температуры (ИС), термопары. Резистивные датчики температуры (RTD, Resistance Temperature Devices) и термисторы функционируют посредством изменения сопротивления при пропускании электрического тока. RTD характеризуются положительным температурным коэффициентом, высокой чувствительностью и стабильностью, а также линейной зависимостью выходного сигнала и работают в широком диапазоне температур. Они предназначены для измерения как низких, так и высоких температур. Термисторы бывают с положительным или отрицательным температурным коэффициентом, имеют высокую чувствительность к измеряемой температуре, но диапазон измеряемых температур невысокий, а характеристики нелинейны. Полупроводниковые интегральные датчики работают в широком диапазоне температур и имеют высокую точность. Кроме того, такие датчики интегрируют схему усиления и обработки сигнала. Термопары созданы для высокотемпературных измерений (до +1700 и даже до +2300 °C), но требуют схему усиления и обработки сигнала. Какому типу датчиков отдать предпочтение — зависит от задачи. Многие из датчиков имеют следующие недостатки: • измерения диапазонов до +100 °C. Для измерений широких температурных диапазонов приходится использовать комбинации датчиков в параллели; • нелинейная передаточная кривая, требующая специальной обрабатывающей электроники; • старение датчиков вследствие термоциклирования, изменяющее основные параметры передаточной кривой. Все эти отрицательные эффекты не проявляются при использовании платиновых резистивных датчиков. Платиновые терморезистивные датчики (RTD) Типичные материалы терморезистивных датчиков (RTD) имеют следующие температурные пределы сенсорного элемента: • платина: –200…+850 °C;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

• никель: –100…+300 °C; • медь: –70…+150 °C; • никель/железо: 0…+200 °C. Преимущества платиновых датчиков: • широкий диапазон измеряемых температур (от –200…+650 °C и до +850 °C); • способность выдерживать высокие температурные нагрузки и перегрузки; • устойчивость к химическим воздействиям; • высокая линейность выходных характеристик; • низкий дрейф; • высокая точность; • высокая стабильность; • долгий срок службы; • стандартизация характеристик гарантирует взаимозаменяемость датчиков; • малое время отклика. Данный список преимуществ делает платину уникальным материалом для терморезистивных измерительных элементов, нагревателей и электродов. Платиновые датчики температуры — устройства, рекомендуемые как для высокотемпературных, так и для низкотемпературных измерений. Сенсорный элемент RTD обычно содержит платиновые провода или пленки с выводами для считывания данных, заключенные в керамический корпус и керамический цемент или стекло для уплотнения сенсорного элемента и поддержки выводов. Материал выводов для подключения сенсорного элемента обычно представляет собой никель, никелевые сплавы, медь, покрытую оловом, серебром или никелем. Материал выводов, проводная изоляция также непосредственно влияют на температуру, измеряемую RTD, что отражено в спецификациях датчиков. Платиновые датчики температуры выпускаются многими производителями в виде дискретных компонентов, позволяющих с высокой точностью, долговременной стабильностью и быстрым временем отклика проводить измерения температур в диапазоне от –200 до +850 °C. Впрочем, если дискретный компонент оборудуется обрабатывающей электронной схемой, например для обеспечения цифрового интерфейса, это накладывает ограничения на максимальный измеряемый верхний предел рабочих температур до +150 °C. Но если системные требования допускают такие рабочие температуры, то список преимуществ данного типа устройств будет использован по максимуму. Впрочем, у RTD есть и слабые места. Руководство по выбору включает анализ геометрических размеров, номинального сопротивления, цены, чувствительности, повторяемости, а также принятие во внимание времени срабатывания и самонагрева. RTD или термопары? Самым главным конкурентом платиновых RTD сегодня являются более дешевые термопары и термопили (массивы последо-

компоненты

35

Таблица 1. Руководство по выбору датчиков температуры Параметр/ Тип датчика

Платиновые RTD

Термопары

Температурный диапазон Чувствительность Линейность Стабильность Время отклика

–200…+650 °C Высокая Высокая Высокая Среднее

–190…+1820 °C Низкая Средняя Менее высокая Малое

вательно включенных термопар), и для выбора в пользу того или иного датчика будет также полезным следующий сравнительный анализ. Как видно из таблицы 1, RTD допускают измерения в меньшем температурном диапазоне, чем термопары. RTD обычно используются в диапазоне до +650 °C, а термопары — в диапазоне до +1700 °C и выше. Но для многих применений рабочие температуры в диапазоне от –200 до +650 °C более чем достаточны. Чувствительность, линейность, долговременная стабильность — эти характеристики RTD на порядок выше, чем у термопар. Чувствительность (ΔR/ΔT) в температурных измерениях характеризует, насколько высокоразрешающим является датчик, и позволяет оценить минимальный порог измеряемых температур. Повторяемость — это долговременная способность поддерживать измерительную точность и при термоциклировании. RTD высокочувствительны и обычно используются в приложениях, в которых важна повторяемость и точность. Высокоповторяемые RTD сохраняют свою передаточную характеристику зависимости сопротивления от температуры в течение длительного времени, без какой-либо деградации, а также допускают легкую взаимозаменяемость RTD различных типов и производителей и использование стандартных соединительных кабелей. Применительно к терморезистивным датчикам разработаны стандарты, регламентирующие их взаимозаменяемость. Наиболее часто используемый стандарт — IEC751 — устанавливает точность платиновых термодатчиков сопротивлением 100 Ом и делит приборы на два класса точности: Class A (для датчиков, работающих в диапазоне –200…+650 °C) и Class B (для датчиков, работающих в диапазоне –200…+850 °C). Эти же классы согласно стандарту DIN 43760 известны как DIN A и DIN B и определяют отклонения сопротивления датчиков в точке замерзания воды 0 °C и точность измерения при конкретном значении температуры. Значения этих отклонений часто используются для других платиновых термодатчиков, у которых сопротивление в точке замерзания жидкости отличается от регламентированного IEC 751 (например, 500 и 1000 Ом вместо 100 Ом). Взаимозаменяемость RTD согласно двум данным стандартам допускает простую www.kite.ru


компоненты

36

и легкую замену вышедшего из строя компонента другим (возможно другого производителя), без требования какой-либо замены аппаратной или программной части электроники обработки сигнала. Слабые места RTD — большее время срабатывания (отклика) датчика. Время срабатывания — это постоянное время, которое характеризует способность датчика реагировать на изменения температур, что особенно важно в системах обеспечения безопасности. Для проведения измерений посредством RTD потребуется в 2–4 раза больше времени, чем при использовании металлических термопар. К тому RTD дороже, чем термопары, ввиду особенностей производства сенсорного элемента, конструкции, проводов и сборки датчика. RTD более чувствительны к ударам и вибрации, нежели термопары, вследствие особенностей конструкции сенсорного элемента. Также имеет место явление самонагрева RTD, для уменьшения которого, впрочем, существует способ минимизации измерительного тока, протекающего через RTD. Например, для платинового датчика в полном диапазоне до +650 °C измерительный ток может быть менее 0,1 мА. В большинстве RTD-датчиков Honeywell как материал сенсорного элемента используется платина, позволяющая измерять температурный диапазон до +650 °C и выше [1–4].

Обзор линейки датчиков температуры Honeywell Дискретные RTD-датчики Дискретные RTD Honeywell (рис. 1) — это тонкопленочные RTD, основанные на кремнии. Данный вид датчиков представлен устройствами HEL‑705/707/711/712/716/717, HEL‑775, HEL776/777, а также серией 700. Все они имеют платиновый резистивный элемент сопротивлением 100 или 1000 Ом, стабильный и быстродействующий линей-

Взаимозаменяемость (точность измерения сопротивления на 0 °C), %

HEL-705-T-1-12-C1 HEL-705-U-0-12-00 HEL-705-U-1-12-00 HEL-705-U-1-12-C1 HEL-705-U-1-12-C2 HEL-707-T-0-12-00 HEL-707-U-1-12-00 HEL-711-U-0-12-00 HEL-711-U-1-12-00 HEL-712-T-1-12-00 HEL-712-U-0-12-00 HEL-716-U-0-12-00 HEL-717-U-1-12-00 HEL-775-A-U-0 HEL-776-A-T-1

Сопротивление при t = 20 °C

Наименование

Диапазон измеряемых температур, °С

Таблица 2. Характеристики датчиков серии HEL‑7xx

–200…+260 –200…+260 –200…+260 –200…+260 –200…+260 –75…+540 –75…+540 –200…+260 –200…+260 –75…+540 –75…+260 –200…+260 –75…+260 –55…+150 –55…+150

100 1000 1000 1000 1000 100 1000 1000 1000 100 1000 1000 1000 1000 100

±0,1 ±0,1 ±0,1 ±0,2 ±0,2 ±0,2 ±0,1 ±0,2 ±0,1 ±0,1 ±0,2 ±0,1 ±0,1 ±0,2 ±0,1

датчики

а

ОВК, электронные сборки, контроль технологических процессов. Важной характеристикой в спецификациях данных типов датчиков является взаимозаменяемость, определенная для элементов каждого из номиналов сопротивления 100 или 1000 Ом в ±0,1% или ±0,2% при 0 °C.

б

в

Дискретные и закорпусированные RTD-датчики Данная группа представлена двумя сериями: HRTS — с платиновым тонкопленочным элементом и TD — с кремниевым тонкопленочным элементом в керамических, пластиковых или резьбовых корпусах (рис. 2).

г

Рис. 1. Дискретные тонкопленочные RTD серий: а) HEL‑705/707/711/712/716/717; б) HEL‑775; в) HEL-776/777; г) 700

ный выход. HEL‑775, HEL776/777 основаны на платиновых тонкопленочных элементах, которые для достижения высокой точности и взаимозаменяемости подгоняются лазерными методами, что устраняет необходимость повторной калибровки. Ряд устройств в линейке дискретных RTD-датчиков Honeywell обладает способностью измерять весьма широкий температурный диапазон, что определяется типом выводного фрейма. Датчики серий HEL‑705/707/711/712/716/717 (табл. 2) в керамических корпусах с тефлоновыми выводами измеряют диапазон от –70 до +260 °C, а приборы с волоконно-оптическим интерфейсом — от –75 до +500 °C. Приборы серии 700 (табл. 3), которые выпускаются в SMD- и кристальных корпусах с проводными выводами или контактными площадками, для SMD-корпусов предусматривают измерительные диапазоны от –70 до +500 °C. Для корпусов с выводами измерительный диапазон серии 700 составляет только от –50 до +130 °C. RTD-датчики серий HEL‑775, HEL776/777 в SIP-корпусах основаны на тонкопленочных платиновых элементах и специфицированы для измерений в диапазоне –55…+150 °C независимо от типа корпуса (пластикового или керамического). Впрочем, и этого более чем достаточно для многих рекомендованных применений, список которых включает

а

б

Рис. 2. Дискретные и закорпусированные RTD серий: а) HRTS; б) TD

Температурный сенсорный диапазон серии HRTS составляет от –70 до +260 °C, а серии TD — типичный для кремниевой электроники диапазон от –40 до +150 °C. Характеристики серии HRTS в отношении номиналов сопротивления и взаимозаменяемости те же, что и у HEL. Сенсорный элемент серии TD имеет номинальное сопротивление 2000 Ом с разбросом в ±5 Ом при +20 °C. Закорпусированные датчики температуры Данная группа представлена компактными, легковесными устройствами, характеризующимися повышенной чувствительностью, надежностью, стабильностью в условиях вибраций, ударных ускорений, влажности и коррозии (рис. 3). Как готовые решения доступны любые типы корпусов для измерений температур воздуха и других газов, жидкостей, твердых тел. Типы встроенных

Таблица 3. Сравнительные характеристики платиновых датчиков температуры 700‑й серии Наименование 700-101BAA-B00 700-101BAB-B00 700-102AAB-B00 700-102AAC-B00 700-102BAA-B00 700-102BAB-B00 701-101BAA-B00 701-101BAB-B00 701-102AAB-B00 701-102BAB-B00 702-101BBB-A00 702-102BBB-A00 703-101BBB-A00 703-102BBB-A00

Температурный диапазон, °C

R0, Ом 100

–70…+500 1000

–70…+500

–50…+130 –50…+130

100 100 1000 1000 100 1000 100 1000

Разброс R0

Класс точности

±0,06%

Class A

Время отклика вода/воздух, c

Размер, мм

0,05/3

2,1×2,3×0,9

0,04/2,2

1,2×1,7×0,9

±0,12%

Class B

±0,24% ±0,06% ±0,12% ±0,06%

Class 2B Class A Class B Class A

±0,12%

Class B

±0,12%

Class B

0,1/2,5

1,4×2,3×0,52 SMD (0805)

±0,12%

Class B

0,15/3,5

1,65×3,25×0,6 SMD (1206)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


датчики

а

в

б

г

Рис. 5. Цифровые датчики влажности и температуры Honeywell HumidIcon

сенсорных элементов — RTD или NTC. Разнообразие вариантов корпусирования включает пластиковые, алюминиевые, керамические корпуса из нержавеющей стали, эпоксидную заливку, оловянное или никелевое покрытие, а также ряд других. Рабочие температурные диапазоны: от –40 до +275 °C или выше — до +300 °C. Дискретные термисторы Линейка дискретных термисторов объединяет широкий набор доступных значений сопротивления, разнообразие типов корпусов в виде выводных и корпусов поверхностного монтажа и их размеров (рис. 4). Рабочие температурные диапазоны термисторов Honeywell: от –60 до +125, +150 или +300 °C. Потенциальное применение эти устройства находят в военной, аэрокосмической, технической, медицинской, контрольно-измерительной аппаратуре. Полный спектр продукции датчиков температуры также охватывает термостаты для ОВК и датчики влажности, интегрированные с датчиками температуры, — серии HumidIcon.

Емкостные датчики влажности Honeywell серии HumidIcon Компания Honeywell выпустила на рынок несколько серий цифровых датчиков HumidIcon (рис. 5) — серии HIH6000, HIH6100, HIH7000, HIH8000 и HIH9000 [6–7]. В таблице 4 представлены основные сравнительные характеристики датчиков HumidIcon. Измерительный принцип датчиков — емкостный, повсеместно одобренный. Емкостные датчики влажности основаны на конденсаторе с диэлектриком, который представляет собой полимер, абсорбирующий влагу пропорционально окружающей влажности воздуха. Полимерный емкостный чувствительный элемент датчика имеет многослойную конструкцию для повышения стойкости к конденсату, загрязнениям, маслам и другим химическим реагентам. Многослойная структура образована двумя плоскими платиновыми обкладками и диТаблица 4. Параметры датчиков влажности HIH6xxx – HIH9xxx Точность Наименование RH, % T, °С

б

в

г

ж

з

и

к

н

о

п

р

д

л

е

м

с

Рис. 4. Дискретные термисторы серий: а) 111; б) 112; в) 115; г) 140/142; д) 143; е) 173; ж) 120; з) 121; и) 126; к) 128; л) 128; м) 135; н) 175; о) 192; п) 194; р) 197; с) ICL

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

37

д

Рис. 3. Корпусированные датчики серий: а) R300; б) 500; в) ES110; г) ES120; д) 6655

а

компоненты

HIH6020-021-001 HIH6021-021-001 HIH6030-000-001 HIH6030-021-001 HIH6031-000-001 HIH6031-021-001 HIH6120-021-001 HIH6121-021-001 HIH6130-000-001 HIH6130-021-001 HIH6131-000-001 HIH6131-021-001 HIH7120-021-001 HIH7121-021-001 HIH7130-000-001 HIH7130-021-001 HIH7131-000-001 HIH7131-021-001 HIH8120-021-001 HIH8121-021-001 HIH8130-000-001 HIH8130-021-001 HIH8131-000-001 HIH8131-021-001 HIH9120-021-001 HIH9121-021-001 HIH9130-000-001 HIH9130-021-001 HIH9131-000-001 HIH9131-021-001

4,5

1

4,5

1

3

1

2

0,8

1,7

0,6

Конденсационный фильтр – + – – + + – + – – + + – + – – + + – + – – + + – + – – + +

Корпус Интерфейс SIP SIP SOIC8 SOIC8 SOIC8 SOIC8 SIP SIP SOIC8 SOIC8 SOIC8 SOIC8 SIP SIP SOIC8 SOIC8 SOIC8 SOIC8 SIP SIP SOIC8 SOIC8 SOIC8 SOIC8 SIP SIP SOIC8 SOIC8 SOIC8 SOIC8

I2C I2C SPI I2C SPI I2C I2C I2C SPI I2C SPI I2C I2C I2C SPI I2C SPI I2C I2C I2C SPI I2C SPI I2C I2C I2C SPI I2C SPI I2C

электрическим термореактивным полимером, заполняющим пространство между ними. Термореактивный полимер обеспечивает датчику более широкий по сравнению с термореактивной пластмассой диапазон рабочих температур и высокую химическую стойкость к таким агрессивным жидкостям и их парам, как изопропил, бензин, толуол и аммиак. Кроме того, датчики на основе термореактивного полимера характеризуются самым большим сроком службы в этиленоксидных стерилизационных процессах. Преимущества датчиков влажности Honeywell: • использование емкостного метода измерения; • широкий диапазон измерения (0–100% относительной влажности); • наличие встроенной интегральной схемы обработки сигнала (ASIC); • усиленный линейный выходной сигнал; • малое время отклика; • возможность прямого подключения к АЦП; • применение лазерной подгонки параметров; • малый ток потребления; • высокая надежность. Технические параметры: • диапазон измерения: 0–100% RH; • повторяемость: ±0,5% RH; • напряжение питания: 4–5,8 В; • ток потребления: 0,2 мА; • рабочая температура: –40…+85 °C, до +100 °C; • температура хранения: –50…+125 °C. Изменение емкости регистрируется электронной схемой, по сигналам которой возможно определить относительную влажность воздуха. Цифровые датчики имеют компенсированный цифровой выход I2C или SPI, низкий ток потребления 650 мкА и разрешение 14 бит, режим ожидания с потреблением тока в 1 мкА. Цифровой интерфейс датчиков влажности, интегрированных с датчиками температуры, рассчитан на прямое подключение к микроконтроллеру. Объединение датчика температуры с датчиком влажности в одном блоке допускает более точное детектирование влажности вне зависимости от температуры, исключая возможность температурного градиента www.kite.ru


38

компоненты

в зоне чувствительности, возможного при использовании двух датчиков в индивидуальных корпусах. Размещение интегральной схемы в непосредственной близости от датчика уменьшает шумы, повышает точность и долговременную стабильность. Точность измерения влажности зависит от серии и варьируется от ±4,5% (HIH6000) до ±1,7% (HIH9000) в диапазоне температур +5…50 °C и диапазоне измерений относительной влажности 10–90%. Долговременная стабильность всех серий датчиков составляет 1,2% в течение пяти лет. Очевидными преимуществами ряда датчиков являются быстрое срабатывание (время отклика до 6 с) и наличие цифрового температурно-скомпенсированного выхода I2C или SPI, подключаемого непосредственно к МК, а также энергоэффективность и малые размеры корпусов. Датчики доступны в корпусах SIP и SOIC-8. Среди них — некомпенсированные датчики без фильтра и датчики с гидрофобным фильтром и защитой от конденсата. Эти цифровые датчики от Honeywell разработаны для применения в ОВКВ, охладительном оборудовании, респираторной терапии, медицинских инкубаторах и оборудовании поддержки микросреды. Полная линейка емкостных датчиков влажности от Honeywell (табл. 5) объединяет и другие датчики влажности, сконфигурированные с интегральной схемой для обеспечения интегральной обработки сигнала. Данный тип устройств представлен сериями HIH‑5030/5031, HIH‑4000 и HIH‑4010/4020/4021, HIH‑4030/4031, HIH‑4602‑A, C, HIH‑4602‑L, L-CP и HCH‑1000 (табл. 6). Все эти датчики представляют собой интегральные схемы — покрытые или непокрытые, оборудованные фильтром от жидкости и пыли или нет. В данной группе датчиков представлено еще одно устройство с интегрированным датчиком температуры — это серия HIH4602, которая представляет собой ИС с прецизионным термистором или RTD. Выходной интерфейс всех датчиков — аналоговое напряжение1. Типы корпусов включают SIP, TO‑5, корпуса поверхностного монтажа. Рабочие температуры всех датчиков –40…+85 °C. В зависимости от серии время срабатывания варьируется от 5 до 50 с. Указанные в таблице 6 модели датчиков влажности отличаются, главным образом, различными способами корпусирования. Для датчиков серии HIH‑4010 добавлена пластиковая рамка, к которой крепятся верхняя и нижняя крышки, формируя серию HIH‑4020. Серию HIH‑4021 об-

датчики

Таблица 5. Основные сравнительные характеристики датчиков влажности Серия

Тип выхода

Корпус

Температурный диапазон, °C

Защитный фильтр

Калибровочные таблицы

HIH-4000 HIH-4010/4020/4021 HIH-4030/4031 HIH-5030/5031 HIH-6030/6031

Напряжение Напряжение Напряжение Напряжение I2C Напряжение (влажность)/ Сопротивление (температура)

SIP SIP Поверхностный монтаж Поверхностный монтаж SOIC-8

–40… +85 –40… +85 –40… +85 –40… +85 –20… +85

– + + + +

+ + – – –

TO-5/TO-39

–40… +85

+

HIH-4602

Таблица 6. Основные характеристики датчиков влажности Наименование

Время отклика, с

HIH-4602-А

Встроенный датчик температуры

Калибровочный паспорт

100 кОм NTC

+

1 кОм платиновый

+

Внешний вид

50 HIH-4602-С

HIH-4602-L

30

HIH-4000-001

HIH-4000-002 нет HIH-4000-003

+

15

HIH-4000-004

+

HIH-4010-001 HIH-4010-002 HIH-4010-003 HIH-4010-004 HIH-4020-002 HIH-4020-003 HIH-4020-004 HIH-4021-001 HIH-4021-002 HIH-4021-003 HIH-4021-004

– – + + – + + – – + +

HIH-4030-001

HIH-4030-003

+

HIH-4031-001

– 15

HIH-4031-003

нет

+

HIH-4100-001

– 1 За исключением недорогой серии HCH‑1000, выполненной как некорпусированный или корпусированный емкостный полимер с непосредственным емкостным выходом (эти датчики более не актуальны для новых разработок, хотя их можно встретить у ряда дистрибьюторов). Рабочий температурный диапазон серии HCH‑1000 — до +120 °C.

HIH-4101-001

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


датчики

разуют датчики серии HIH‑4020 с добавленным к ним гидрофобным фильтром. Фильтр служит для защиты чувствительного элемента от брызг и крупных частиц, оставаясь при этом проницаемым для молекул воды, содержащихся в контролируемой газовой среде. Серии HIH‑4030/31 предназначены для SMT-монтажа, датчики данных серий имеют пластиковый корпус. Серия HIH4031 имеет дополнительный гидрофобный фильтр. Серия HIH‑4101-001 выполнена в виде модуля, который представляет собой печатную плату с расположенными на ней датчиком и разъемом. Потенциальные применения датчиков влажности данных серий включают рефрижераторы, сушильное оборудование, метеорологию, батарейные системы, ОВК, офисную автоматизацию, медицину и клиентские сборки.

Заключение

39

тимальные условия для обеспечения жизнедеятельности живых организмов, протекания любых процессов нагрева/обогрева, вентиляции, увлажнения и сушки, поддерживают микроклимат в помещениях и за их пределами, оптимизируют время на установление заданного рабочего режима, оптимизируют потребление энергии, воды, тепла и других ресурсов. А также датчики температуры и влажности Honeywell минимизируют системную цену и снижают затраты на разработку надежных, точных, экономически эффективных современных систем в различных отраслях, основанных на интеграции двух данных ключевых типов датчиков. n

Литература 1. http://sensing.honeywell.com/application%20note%20library 2. http://www.compel.ru/lib/ne/2010/1/2‑honeywell-nomer-odin-v‑miredatchikov/ 3. http://www.compel.ru/lib/ne/2007/1/6‑novyie-platinovyie-datchikitemperaturyi/#rlcje 4. h t t p : / / s e n s i n g . h o n e y w e l l . c o m / p r o d u c t s / t e m p e r a t u r e _ sensors?Ne=2308&N=3185 5. h t t p s : / / c u s t o m e r . h o n e y w e l l . c o m / e n - U S / P a g e s / d e p a r t m e n t . aspx?cat=HonECC%20Catalog&category=Temperature+Sensors&catpa th=1.3.9.5 6. http://sensing.honeywell.com/products/humidity_sensors?Ne=2308&N=3217 7. http://www.compel.ru/lib/ne/2007/2/6‑novyie-serii-datchikov-vlazhnostihoneywell/

Реклама

В бизнесе датчиков компания Honeywell работает свыше 75 лет и стремится удовлетворять высокие требования различных отраслей. Сегодня Honeywell — лидирующий в мире производитель, который предлагает широкий спектр датчиков температуры и влажности — ключевых параметров для ряда актуальных применений, ранжированных от ОВК до медицины, от офисной и бытовой электроники до промышленных систем автоматизации, основанных на системной интеграции датчиков температуры и влажности. Компания предлагает клиентам высокоточные, стабильные и надежные датчики температуры и влажности, которые создают оп-

компоненты

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


40

на правах рекламы

Импортозамещающие биполярные транзисторы производства ОАО «ИНТЕГРАЛ» — управляющей компании холдинга «ИНТЕГРАЛ» Зарубежный транзистор (заменяемый)

Транзистор производства «ИНТЕГРАЛ» (заменяющий)

Зарубежный транзистор (заменяемый)

Транзистор производства «ИНТЕГРАЛ» (заменяющий)

Зарубежный транзистор (заменяемый)

Транзистор производства «ИНТЕГРАЛ» (заменяющий)

LBC850C LBC857A LBC857B LBC858A LBC858B LBC859A LBC859B LM8050I LM8050J MJD112-1G/B MJD112G/T4/O MJD117-1G/B MJD117G/O MJD13003-D MJD31C MJD31C-I MJD32C MJD32C-I MJD41C MJD41C-I MJD42C MJD42C-I MJE13001A-L MJE13001L-E-A-TO-126S MJE13002 MJE13003 MJE13003G-A-TN3 MJE13004 MJE13005 MJE13006 MJE13007 MJE180 MJE182 MJE2090 MJE2091 MJE2092 MJE2093 MJE2100 MJE2101 MJE2102 MJE2103 MJE2521 MJE2523 MJE3005L-A-TM3-R MJE3005L-A-TN3-R MJE3055T MJE4343 MJE4553 MJE4922 MJE4923 MJE710 MJE711 MJE711 MJE712 MJE712 MJE720 MJE720 MJE720 MJE721

КТ3189В9 КТ3129Б9 КТ3129Г9 КТ3129В9 КТ3129Г9 КТ3129В9 КТ3129Г9 КТ6114Д КТ6114Е КТ8214В91* КТ8214В9** КТ8215В91* КТ8215В9** КТ8170А9** КТ8176В9** КТ8176В91* КТ8177В9** КТ8177В91* КТ8212A9** КТ8212A91* КТ8213A9** КТ8213A91* КТ538А КТ8270А КТ8170Б1 КТ8170А1 КТ8170А9** КТ8164Б КТ8164А КТ8126Б1 КТ8126А1 КТ817В КТ817Г КТ8115В КТ8115В КТ8115Б КТ8115Б КТ8116В КТ8116В КТ8116Б КТ8116Б КТ8176А КТ8176А КТ8164А91* КТ8164А9** КТ819В КТ732А КТ733А КТ8176А КТ8176Б КТ814А КТ814В КТ8271Б КТ814Г КТ8271В КТ815Б КТ8272А КТ961В КТ815В

MJE721 MJE722 MJE722 MJF122 MJF127 MMBC1623L5 MPS3904 MPS3906 MPS4125 MPS6517 MPS8050B MPS8050C MPS8050D MPS8550B MPS8550C MPS8550D MPSA42 MPSA43 MPSA92 MPSA93 MPSW42 MPSW92 NJ13002 NTE108 NTE123 NTE157 NTE186A NTE186A NTE187A NTE194 NTE196 NTE197 NTE2305 NTE2306 NTE2312 NTE2318 NTE261 NTE262 NTE2637 NTE270 NTE271 NTE287 NTE288 NTE295 NTE379 NTE51 NTE6401 NTE6409 NTE6410 PE8050B PE8050C PE8550B PE8550C PHE13005 PHE13007 PJ13007CZ PJ2N3904CT PJ2N3906CT PJ2N9012CTD

КТ8272Б КТ815Г КТ8272В КТ8116А КТ8115A КТ220Б9 КТ6137А КТ6136А КТ6136А КТ6136А КТ6114А КТ6114Б КТ6114В КТ6115А КТ6115Б КТ6115В КТ520А КТ520Б КТ521А КТ521Б КТ520А КТ521А КТ8170Б1 КТ6113А КТ928В КТ940А КТ817А КТ8296А КТ816А КТ6117А КТ8304Б КТ837X КТ732А КТ733А КТ8126А1 КТ872А КТ8116А КТ8115A КТ872А КТ8158В КТ8159В КТ520А КТ521А КТ646А КТ8126А1 КТ8164А КТ132А КТ132Б КТ133Б КТ6114А КТ6114Б КТ6115А КТ6115Б КТ8164А КТ8126А1 КТ8126А1 КТ6137А КТ6136А КТ6109А

PJ2N9012CTE PJ2N9012CTF PJ2N9012CTG PJ2N9012CTH PJ2N9013CTD PJ2N9013CTE PJ2N9013CTF PJ2N9013CTG PJ2N9013CTH PJB772CK PJB772CKG PJB772CKQ PJB772CKR PJB772CKY PJD882CK PJD882CKG PJD882CKQ PJD882CKR PJD882CKR PJD882CKY PMB772 PMB772E PMB772P PMB772Q PMB772R PN2221 PN2224 PSS8050C PSS8050D PSS8550C PSS8550D PSS9012G PSS9012H PSS9013G PSS9013H PSS9015B S2000N S2055A S8050B S8050C S8050D S8550B S8550C S8550D S9012D S9012E S9012F S9012G S9012H S9013G S9013H S9014B S9014C S9014D S9015A S9015B S9015C S9016D S9016E

КТ6109Б КТ6109В КТ6109Г КТ6109Д КТ6110А КТ6110Б КТ6110В КТ6110Г КТ6110Д КТ816А КТ8297Г КТ8297Б КТ8297А КТ8297В КТ817А КТ8296Г КТ8296Б КТ817А КТ8296А КТ8296В КТ816А КТ8297Г КТ8297В КТ8297Б КТ8297А КТ3117А1 КТ3117А1 КТ6114Б КТ6114В КТ6115Б КТ6115В КТ6109Г КТ6109Д КТ6110Г КТ6110Д КТ6112Б КТ872А КТ872Г КТ6114Г КТ6114Д КТ6114Е КТ6115Г КТ6115Д КТ6115Е КТ6109А КТ6109Б КТ6109В КТ6109Г КТ6109Д КТ6110Г КТ6110Д КТ6111Б КТ6111В КТ6111Г КТ6112А КТ6112Б КТ6112В КТ6128А КТ6128Б

Примечание: * — возможна поставка в корпусе IPAK; ** — возможна поставка в корпусе DPAK.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


на правах рекламы

41

Зарубежный транзистор (заменяемый)

Транзистор производства «ИНТЕГРАЛ» (заменяющий)

Зарубежный транзистор (заменяемый)

Транзистор производства «ИНТЕГРАЛ» (заменяющий)

Зарубежный транзистор (заменяемый)

Транзистор производства «ИНТЕГРАЛ» (заменяющий)

S9016F S9016G S9016H S9016I S9018D S9018F S9018G S9018H S9018I SBC557A SBC557B SBN13001 SBP13005D1 SBR13003 SE1002 SK4904 SK9124 SPB13005-O/5 SPB13007-H1 SPS8050 SPS8550D SS8050B SS8050C SS8050D SS8550B SS8550C SS8550D SS9012D SS9012E SS9012F SS9012G SS9012H SS9013D SS9013E SS9013F SS9013G SS9013H SS9014A SS9014B SS9014D SS9014С SS9015A SS9015B SS9015C SS9016D SS9016E SS9016F SS9016G SS9016H SS9016I SS9018D SS9018E SS9018F SS9018G SS9018H SS9018I ST13001 ST13003 ST13004 ST13005 ST13006 ST13007 ST2N3903 ST2N3904 ST2N3905 ST2N3906 ST8050C ST8050D ST9014A ST9014B ST9014D ST9014С ST9015A ST9015B ST9015C ST9016D ST9016E ST9016F ST9016G ST9016H

КТ6128В КТ6128Г КТ6128Д КТ6128Е КТ6113А КТ6113В КТ6113Г КТ6113Д КТ6113Е КТ3107Б КТ3107И КТ538А КТ8164А КТ8170А1 КТ3142А КТ732А КТ132Б КТ8164А КТ8126А1 КТ6114В КТ6115В КТ6114А КТ6114Б КТ6114В КТ6115А КТ6115Б КТ6115В КТ6109А КТ6109Б КТ6109В КТ6109Г КТ6109Д КТ6110А КТ6110Б КТ6110В КТ6110Г КТ6110Д КТ6111А КТ6111Б КТ6111Г КТ6111В КТ6112А КТ6112Б КТ6112В КТ6128А КТ6128Б КТ6128В КТ6128Г КТ6128Д КТ6128Е КТ6113А КТ6113Б КТ6113В КТ6113Г КТ6113Д КТ6113Е КТ538А КТ8170А1 КТ8164Б КТ8164А КТ8126Б1 КТ8126А1 КТ6137А КТ6137А КТ6136А КТ6136А КТ6114Б КТ6114В КТ6111А КТ6111Б КТ6111Г КТ6111В КТ6112А КТ6112Б КТ6112В КТ6128А КТ6128Б КТ6128В КТ6128Г КТ6128Д

ST9016I ST9018D ST9018E ST9018F ST9018G ST9018H ST9018I STA8550NB STA8550NC STA8550ND STA9012NF STA9012NG STA9012NH STA9015NB STA9015NC STC8050NB STC8050NC STC8050ND STC9013NF STC9013NG STC9013NH STC9014NB STC9014NC STC9014ND STC9018NF STC9018NG STC9018NH STC9018NI STD13003-1 STD13003T4 STD13005F STD13005IS STD13007 STI13004 STI13005 STK13003 STN3904 STN3906 STPSA42 STS8550B STS8550C STS8550D STS9012F STS9012G STS9012H STS9013F STS9013G STS9013H STS9014B STS9014C STS9014D STS9015B STS9015C TBC337-16 TBC338-25 TBC548 TBC549 TD13004D TD13004D-SMD TD13005D TD13005D-SMD TE13002 TE13003 TE13004 TE13005 TIP101 TIP110 TIP111 TIP112 TIP112L-TN3 TIP115 TIP116 TIP117 TIP120 TIP121 TIP122 TIP125 TIP126 TIP127 TIP2955

КТ6128Е КТ6113А КТ6113Б КТ6113В КТ6113Г КТ6113Д КТ6113Е КТ6115Г КТ6115Д КТ6115Е КТ6109В КТ6109Г КТ6109Д КТ6112Б КТ6112В КТ6114Г КТ6114Д КТ6114Е КТ6110В КТ6110Г КТ6110Д КТ6111Б КТ6111В КТ6111Г КТ6113В КТ6113Г КТ6113Д КТ6113Е КТ8170А91* КТ8170А9** КТ8164А КТ8164А91* КТ8126А1 КТ8164Б КТ8164А КТ8170А1 КТ6137А КТ6136А КТ520А КТ6115Г КТ6115Д КТ6115Е КТ6109В КТ6109Г КТ6109Д КТ6110В КТ6110Г КТ6110Д КТ6111Б КТ6111В КТ6111Г КТ6112Б КТ6112В КТ660A КТ660Б КТ315Г1 КТ315Г1 КТ8164Б91* КТ8164Б9** КТ8164А91* КТ8164А9** КТ8170Б1 КТ8170А1 КТ8164Б КТ8164А КТ8304Б КТ8214А КТ8214Б КТ8214В КТ8214В9** КТ8215А КТ8215Б КТ8215В КТ8116В КТ8116Б КТ8116А КТ8115В КТ8115Б КТ8115A КТ739А

TIP3055 TIP31A TIP31B TIP31C TIP31C-TN3-R TIP32A TIP32B TIP32C TIP32C-TN3-R TIP35F/E TIP36F/E TIP41A TIP41B TIP41C TIP42A TIP42B TIP42C TIP42C-TN3-R TIP8050B TIP8050C TIP8050D TIP8550B TIP8550D TIP8550С TIP9013F TIP9013G TIP9013H TP8V45FX TS13001 TS13005 TS13005C TS13005CP TS13007B TSB772CK TSD882CK UTC9012D UTC9012E UTC9012F UTC9012G UTC9012H UTC9013D UTC9013E UTC9013F UTC9013G UTC9013H UTC9014A UTC9014B UTC9014C UTC9014D UTC9015A UTC9015B UTC9015C UTC9018D UTC9018E UTC9018F UTC9018G UTC9018H UTC9018I UTS8050SC UTS8050SD WBP13005D WTD772 WTD882 ZTX549 ZTX549A ZTX756 ZTX757 ZUMT848B ZUMT850B

КТ738А КТ8176А КТ8176Б КТ8176В КТ8176В9** КТ8177А КТ8177Б КТ8177В КТ8177В9** КТ8229А КТ8230А КТ8212В КТ8212Б КТ8212А КТ8213В КТ8213Б КТ8213А КТ8213A9** КТ6114А КТ6114Б КТ6114В КТ6115А КТ6115В КТ6115Б КТ6110В КТ6110Г КТ6110Д КТ8126А1 КТ538А КТ8164А КТ8164А91* КТ8164А9** КТ8126А1 КТ816В КТ817В КТ6109А КТ6109Б КТ6109В КТ6109Г КТ6109Д КТ6110А КТ6110Б КТ6110В КТ6110Г КТ6110Д КТ6111А КТ6111Б КТ6111В КТ6111Г КТ6112А КТ6112Б КТ6112В КТ6113А КТ6113Б КТ6113В КТ6113Г КТ6113Д КТ6113Е КТ6114Д КТ6114Е КТ8164А КТ816А9 КТ817А9 КТ6115Д КТ6115Е КТ521Б КТ521А КТ3130Д9 КТ3130Б9

Примечание: * — возможна поставка в корпусе IPAK; ** — возможна поставка в корпусе DPAK.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

ОАО «ИНТЕГРАЛ» — управляющая компания холдинга «ИНТЕГРАЛ». Ул. И. П. Казинца, д. 121 А, офис 327, г. Минск, 220108, Республика Беларусь Тел. (+375-17) 212-56-61 Факс (+375-17) 212-30-51 E-mail: VDrinevskiy@integral.by www.integral.by www.kite.ru


42

новости

рынок

Компания Keysight Technologies начинает работать Компания Keysight Technologies, Inc. объявила о том, что c 1 августа Группа электронных измерений компании Agilent Technologies начала работать под новым названием — Keysight Technologies. До начала ноября 2014 года, когда планируется завершить разделение двух компаний, Keysight Technologies останется дочерней компанией Agilent Technologies. Акции Keysight Technologies будут торговаться на Нью-Йоркской фондовой бирже под символом KEYS.

Семинар «Нанотехнологии компании Beneq и электролюминесцентные дисплеи Lumineq для требовательных применений» Компания Beneq — портфельная компания ОАО «Роснано» — совместно с Финско-Российской торговой палатой приглашает на семинар инженеров, технологов, конструкторов и руководящий состав промышленных предприятий, применяющих функциональные покрытия и заинтересованных в передовых технологиях. Дата проведения семинара: 25 сентября 2014 года. Начало в 10:00, окончание в 13:00. Место проведения: Москва, Покровский бульвар, 4/17, стр. 4б, конференц-зал Финско-Российской торговой палаты. Участие в семинаре бесплатное. Язык мероприятия — русский. По вопросам, связанным с мероприятием, необходимо обращаться к Наталье Лим (Beneq): e‑mail: russia@beneq.com Тел.: +7 (921) 564-61-70, +7 (812)332-01-54. www.beneq.com

Компания Keysight является мировым лидером в области разработки и производства контрольно-измерительных решений для телекоммуникационной, оборонной, аэрокосмической, машиностроительной, приборостроительной и электронной отраслей промышленности. Об отделении компании Keysight от Agilent Technologies было объявлено 19 сентября 2013 года. www.keysight.com

Программа семинара: Атомно-слоевое осаждение (область компетенций) • Химия и нанесение покрытий. Монослои, многослойные наноламинаты, заполнение трещин, сглаживание поверхности, барьеры, пассивации слоев, инкапсуляция и т. д. • Планирование промышленного производства. Разработка процесса масштабирования партий, реакционных камер, носителей подложек, конвейеров и т. д. 10:00–11:00 • Нанесение покрытий в зависимости от назначения: – Фотоэлектричество: CIGS-буферы и C-Si-пассивационные слои. – Стерлинговое серебро: антикоррозийное покрытие. – OLED: барьеры и устройства инкапсуляции. – ALD: покрытие порошков и частиц. Спикер — инженер-технолог Эдгар Майоров (Beneq). Атомно-слоевое осаждение (оборудование) • Установка TFS200 для исследований в ALD, включая технологию Particle ALD. • Установка TFS200R для исследований в ALD в продленном режиме. • Установка TFS 500 для исследований в ALD и массовом производстве. • Установка TFS600 для промышленного применения в OLED. • Установка TFS1200 для работы со встроенными системами CIGS 11:00–12:00 для буферных слоев солнечных батарей. • Установка TFS NX300 для автоматизированной пассивации поверхностей солнечных батарей. • Установки P400A и P800 для массового промышленного производства по ALD-технологии. • WCS 500 для атомно-слоевого осаждения «ролл-ту-ролл» научно-производственных масштабов. Спикер — инженер-технолог Эдгар Майоров (Beneq). Электролюминесцентные дисплеи (демонстрация образцов) 12:00–13:00 • Прозрачные электролюминесцентные дисплеи (TASEL). • Твердотельные электролюминесцентные дисплеи (TFEL). Спикер – региональный менеджер Юрий Кочанов (Beneq). вопросы, ответы. 13:00–14:00 Заключение, Кофе, чай.

®

Реклама

®

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


компоненты

44

Пинкеш Сачдев (Pinkesh Sachdev)

Введение Архитекторам и проектировщикам систем питания цифровых плат на базе специализированных ИС, ПЛИС и микропроцессоров есть от чего испытывать легкую зависть к своим коллегам, занимающимся программным обеспечением, если учесть сравнительные преимущества, которыми те пользуются: • От написания кода до наблюдения за результатами его работы проходит гораздо меньше времени, чем занимает одна ревизия аппаратной части печатной платы. Сроки вывода изделия на рынок ограничены в основном производительностью процессов программирования и тестирования, а влияние внешних факторов не столь велико. • Обновления программного обеспечения, предназначенные для исправления ошибок и улучшения эксплуатационных характеристик, рассылаются клиентам по мере необходимости. Обновление аппаратной части подразумевает отзыв плат для переделки. • Производительность программного кода легко отслеживается дистанционно в комфортных условиях рабочего места, делается это по данным из журналов. Узкие места выявляются оперативно, что позволяет быстро вносить коррективы. Разработчики же аппаратной части проводят много времени в лаборатории, согнувшись над платами с вольтметром и щупами осциллографа. • Можно написать один базовый набор модульного кода и затем адаптировать его к нуждам различных клиентов и сегментов рынка. Для адаптации аппаратной части необходимы изменения в компонентах и спецификации, что влечет риск слишком сильных расхождений между версиями.

Тенденции, усложняющие работу архитекторов и проектировщиков систем питания Усугубляет ситуацию то, что напряжения питания современных цифровых плат с микросхемами, выполненными по нанометровым технологиям (заказные ИС, ПЛИС, микропроцессоры, цифровые сигнальные процессоры), демонстрируют тенденцию к дальнейшему сокращению до уровней

источники питания

Программное управление аппаратной частью системы питания ниже 1 В. Допуски на напряжение локализованных к нагрузке источников питания сужаются, приближаясь к 2–3%, причем бюджет погрешности включает точность установки постоянной составляющей, пульсации и переходные процессы при ступенчатом изменении нагрузки. Заметим, что 3% для источника питания напряжением 0,9 В — это всего 27 мВ. Со снижением напряжений питания и увеличением числа ядер в процессорах возрастают уровни токов, в отдельных случаях превышая 100 А. Поддерживать напряжение на входе процессора с точностью до нескольких десятков милливольт, притом что через проводники питания и «земли» печатной платы протекают токи в сотни ампер — сложнейшая задача на проектирование схемы разводки питания. Одновременно присутствует запрос на более рациональное расходование энергии процессорами для снижения затрат на энергоснабжение и охлаждение центров обработки данных. Шасси серверов нагреваются все сильнее, и рабочие температуры плат приближаются к 100 °C. Циклы проектирования сокращаются, но то и дело возникает необходимость корректировать конструкции в последний момент в зависимости от результатов перегрузочных испытаний, а также потребностей конкретных клиентов и сегментов рынка. Последовательное включение и выключение — общее требование к платам с несколькими источниками питания, но сложность подобных схем возрастает в условиях, когда имеется от 20 до 50 источников, рассчитанных на разные типы цепей.

сокой точностью по напряжению в части функций подстройки, поддержания запаса по мощности и мониторинга. Существуют и однокристальные системы (SoC), которые объединяют многочисленные цифровые блоки, логику, АЦП, ЦАП, компараторы и ШИМ-выходы. За отсутствием какой-либо архитектуры управления питанием они требуют обстоятельного программирования для выполнения даже простейших задач, отчего на проектирование и проверку корректности уходят месяцы. Тенденция к переходу на цифровое управление привела к возникновению цифровых решений для управления питанием, у которых в контуре обратной связи DC/DCпреобразователя есть АЦП, цифровой компенсатор и цифровой ШИМ. Из-за квантования сигнала в цифровых контурах выходное напряжение таких систем характеризуется повышенным уровнем шума и пульсаций. Кроме того, они обычно медленнее реагируют на переходные процессы, менее точны, а подчас демонстрируют неустойчивое, непредсказуемое поведение. Аналоговые контуры обратной связи работают быстрее, не столь шумны и ведут себя намного более предсказуемо. Для управления множеством локализованных к нагрузке источников питания необходимы цифровое конфигурирование и цифровая связь с отдельными источниками, но сами контуры обратной связи источников можно оставить аналоговыми, чтобы извлечь максимум преимуществ из аналоговой и цифровой составляющих.

Традиционные решения

Законченное решение

Задачи управления питанием, такие как последовательное включение и выключение, контроль управления, мониторинг и поддержание запаса по мощности, традиционно решаются набором разнотипных устройств — супервизоров, секвенсоров, АЦП, ЦАП, усилителей и микроконтроллеров. Большую часть усилий при проектировании приходится тратить на координацию работы этих устройств. На базе супервизоров и секвенсоров возникли интегрированные решения с дополнительными возможностями обеспечения запаса по мощности, мониторинга АЦП и регистрации отказов в ЭСППЗУ. Но такие решения характеризуются невы-

Учитывая современные тенденции в конструировании локализованных к нагрузке источников питания, компания Linear Technology с нуля разработала законченное решение для управления системой питания. Основной принцип его устройства заключается в том, что контуры обратной связи источников питания остаются аналоговыми, а в цифровом виде реализованы интерфейсы связи и управления. Блок-схема такого решения показана на рис. 1. Семейство ИС управления системой питания включает широкий спектр взаимосовместимых приборов со встроенным DC/DC-преобразователем и без такового, как показано на рис. 2. Все эти

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


источники питания

устройства взаимодействуют с контроллером платы через стандартный интерфейс PMBus. Выбор интерфейса PMBus помогает сократить сроки проектирования за счет возможности многократного использования микропрограммного обеспечения. Те, кто предпочитает автономную работу без написания кода, могут воспользоваться инженерно-конструкторской программой для настройки конфигурации под названием LTpowerPlay. Среди всех этих устройств, удовлетворяющих стандарту PMBus, можно выделить диспетчеры системы питания — устройства, которые отвечают за сопряжение с имеющейся аналоговой системой питания. Они измеряют выходное напряжение источника питания (ИП), используя 16‑разрядный АЦП с погрешностью 0,25%, сравнивают результат измерения со значением в регистре уставки напряжения и выполняют коррекцию с помощью 10‑разрядного ЦАП, напряжение с которого подается на входной контакт обратной связи или подстройки ИП. Точность АЦП, равная ±0,25%, оставляет хороший запас на переходные процессы в источнике при ступенчатом изменении нагрузки, в результате чего снижаются требования к развязывающим конденсаторам и, следовательно, экономится место на печатной плате. Долговременную надежность платы повышает подстроечный следящий контур, который непрерывно контролирует и корректирует параметры источника, предотвращая их временной и температурный дрейф. Тем самым сокращается отбраковка плат по итогам перегрузочных испытаний благодаря точной установке напряжений источников. Функция подстройки также позволяет варьировать напряжения ИП для оптимизации энергопотребления при заданной нагрузке системы. Значения напряжения, тока и температуры, поступающие с АЦП, дают богатую информацию о характеристиках системы, которую можно использовать для повышения надежности плат, снижения их энергопотребления и прогнозирования замены. Сокращаются сроки вывода изделия на рынок, так как многие корректировки в конструкции можно выполнять без изъятия платы из производственного цикла — простым изменением содержимого регистров конфигурации. Еще одна замечательная возможность диспетчеров системы питания — регистрация неисправностей во внутреннем ЭСППЗУ. Анализ данных мониторинга с АЦП за последние несколько циклов, сохраняемых в энергонезависимой памяти при возникновении отказа, радикально ускоряет диагностику отказов. Кроме того, в диспетчерах предусмотрена дистанционная диагностика. При интеграции с более высокоуровневым программным обеспечением появляется возможность мониторинга и диагностики системы питания платы из удаленной точки. Аналогичным образом можно дистанционно обновить микропрограмму на месте эксплуатации.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

компоненты

45

Рис. 1. Архитектура управления системами питания компании Linear Technology (локализованные к нагрузке источники остаются аналоговыми, а связь с ними и управление реализованы в цифровой форме)

Рис. 2. Типы устройств в архитектуре управления системами питания компании Linear Technology (каждому типу соответствует несколько устройств в зависимости от количества обслуживаемых шин питания)

В зависимости от устройства последовательное включение и выключение реализуется посредством временных задержек или каскадирования; поддерживаются также следящие ИП. На рис. 3 изображен 16‑канальный диспетчер системы питания LTM2987. Если требуется управлять более чем 16 источниками, можно легко скоординировать работу LTM2987 с другими диспетчерами.

Диспетчеры системы питания — идеальный выбор для уже имеющейся системы питания с четырьмя и более шинами. DC/DCконтроллеры с функциями управления системой питания лучше подходят в случае, когда необходимо цифровое управление ограничением выходного тока, частотой переключения и скоростью линейного изменения напряжения. Эти устройства оснащены www.kite.ru


компоненты

источники питания

Рис. 3. LTM2987 — 16‑канальный микромодульный диспетчер системы питания с интерфейсом PMBus, обеспечивающий подстройку, поддержание запаса по мощности и мониторинг с точностью 0,25%

дроссель и конденсаторы. На рис. 4 изображен микромодуль LTM4676, представляющий собой компактное решение на выходной ток 26 А.

Заключение Управление системой питания — необходимая функциональность для современных цифровых плат с большим количеством шин питания. Большинство представленных

на рынке решений спроектировано как надстройка над секвенсорами или содержит ряд функциональных блоков, требующих обстоятельного программирования. Тщательно проанализировав эту проблему, проектировщики из компании Linear Technology создали законченное универсальное решение с целостной архитектурой и заделом на будущее в виде семейства взаимосовместимых устройств, призванных облегчить задачу разработчиков аппаратного обеспечения. n

Реклама

быстродействующими аналоговыми контурами обратной связи по току, имеющими оптимальные характеристики переходного режима, а также цифровыми подсистемами телеметрии, конфигурирования и управления. Точное многофазное распределение тока позволяет снизить пульсации на входе и рассредоточить тепловыделение в системах с большими токами. В составе микромодулей интегрированы также импульсные N‑канальные полевые МОП-транзисторы,

Рис. 4. LTM4676 — микромодульный стабилизатор на выходной ток 2×13 А или 1×26 А с цифровым управлением системой питания

Реклама

46

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


48

компоненты

память

Радиационно-стойкое статическое ОЗУ — 1645РУ5У от компании «Миландр»

Б

ольшинству разработчиков аппаратуры знакомы микросхемы запоминающих устройств серий 1645, 1636, 5576, созданных специалистами компании ПКК «Миландр»: • статические оперативные запоминающие устройства — 1645РУ1У, 1645РУ2Т, 1645РУ3У, 1645РУ4У; • двухпортовые оперативные запоминающие устройства — 1645РК1У и 1645РК2Т; • двухпортовые запоминающие устройства обратного магазинного типа (FIFO) — 1645РГ1; • однократно программируемые постоянные запоминающие устройства — 1645РТ2У; • перепрограммируемые ПЗУ Flash-типа — 1636РР1 и 1636РР2; • загрузочное ППЗУ с электрическим перепрограммированием, последовательным интерфейсом для конфигурирования ПЛИС — 5576РС1; • однократно программируемое ПЗУ для конфигурирования ПЛИС — 5576РТ1. Микросхемы статического оперативного запоминающего устройства 1645РУ2Т, однократно программируемого постоянного запоминающего устройства 1645РТ2У и микросхемы однократно программируемого ПЗУ для конфигурирования ПЛИС 5576РТ1У обладают повышенной стойкостью к воздействию факторов космического пространства и могут применяться в разработках аппаратуры для космических применений. Н о в а я р а з р а б о т к а ко м п а н и и П К К «Миландр» — микросхема статического оперативного запоминающего устройства с информационной емкостью 4 Мбит и организацией 512К8 является продолжением линейки СОЗУ компании и обладает рядом особенностей, выдвигающих ее на уровень мировых разработок.

Двадцатинаносекундное время выборки по адресу и сигналу разрешения nСЕ в расширенном температурном диапазоне от –60 до +125 °C, расширенный диапазон напряжения питания от 3 до 5,5 В — и все это при высокой стойкости к воздействию космических излучений и специальных внешних воздействующих факторов. Теперь немного подробнее. Основная особенность данной микросхемы — высокий уровень стойкости к накопленной дозе, высокие уровни бессбойной работы и отсутствие тиристорного эффекта при различных видах излучений и воздействий космического пространства. В качестве запоминающего элемента использована радиационно-стойкая шеститранзисторная ячейка памяти, поддерживающая высокий уровень стойкости к воздействию специальных факторов. Радиационная стойкость микросхемы обеспечивается технологией изготовления, а также топологическими и схемотехническими решениями. Типовые режимы работы СОЗУ (запись, чтение, хранение) обеспечиваются управляющими сигналами разрешения выборки кристалла nСЕ, разрешения выхода данных nOE и сигнала разрешения записи Таблица 1. Таблица истинности микросхемы 1645РУ5У Входы nOE

nWE

nCE

X

X

H

L H

H L

L L

Н

H

L

nWE на соответствующих входах микросхемы, в соответствии с таблицей истинности (табл. 1) и временными диаграммами (рис. 1–5). Значения основных параметров микросхемы представлены в таблице 2. Выводы А0–А18 являются адресными входами, выводы данных D0–D7 двунаправленные, их состояние зависит от логических уровней управляющих сигналов. В режиме чтения информации выводы D0–D7 являются выходами, а в режиме записи — входами. Таблица 2. Основные электрические параметры микросхемы 1645РУ5У

Параметры

Буквенное обозначение параметра

Павел Леонов leonov.pavel@ic-design.ru Павел Пастухов pastuhov.p@ic-design.ru

Номенклатура запоминающих устройств российской разработки на отечественном рынке пока не столь многообразна по сравнению с номенклатурой основных мировых производителей. Однако в последние годы ведущие производители электронных компонентов в России выводят на внутренний рынок различные типы микросхем, не уступающих по характеристикам лучшим мировым достижениям. Одни из них приходят на смену устаревшим образцам, другие открывают новые направления, третьи расширяют линейку определенных функциональных направлений.

Норма параметра не не менее более

Выходное напряжение высокого уровня, В Выходное напряжение низкого уровня, В

UOH UOL

2,4 –

– 0,4

Ток потребления в режиме хранения, мА (ТТЛ уровни на входах) - при: UCC = 3,6 В, fC = 1/tCYRmin - при: UCC = 5,5 В, fC = 1/tCYRmin

ICCS1

– –

10 15

Ток потребления в режиме хранения, мА (КМОП уровни на входах) - при: UCC = 3,6 В, fC = 0 - при: UCC = 5,5 В, fC = 0

ICCS2

– –

5 5

Динамический ток потребления, мА - при: UCC = 3,6 В, fC = 1/tCYRmin - при: UCC = 5,5 В, fC = 1/tCYRmin

IOCC

– –

90 120

Время цикла считывания информации, нс - при: UCC = 3 В - при: UCC = 4,5 В

tCYR

30 20

– –

Время цикла записи информации, нс - при: UCC = 3 В - при: UCC = 4,5 В

tCYW

30 20

– –

Выходы

Режим

Время выборки адреса, нс - при: UCC = 3 В, CL = 30 пФ - при: UCC = 4,5 В, CL = 30 пФ

tA(A)

– –

30 20

Состояние высокого импеданса Выходные данные Входные данные Состояние высокого импеданса

Хранение данных Считывание данных Запись входных данных Запрет выхода данных при считывании

Время выборки по сигналу nСЕ, нс - при: UCC = 3 В, CL = 30 пФ - при: UCC = 4,5 В, CL = 30 пФ

tA(nCE)

– –

30 20

Время выборки по сигналу nОЕ, нс - при: UCC = 3 В, CL = 30 пФ - при: UCC = 4,5 В, CL = 30 пФ

tA(nOE)

– –

15 10

Примечание. H — состояние высокого уровня; L — состояние низкого уровня; X — состояние высокого или низкого уровня.

Примечания. CL — емкость нагрузки. Уровень стойкости к воздействию статического электричества более 2000 В.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


память

При напряжении высокого уровня на входе nСЕ микросхема находится в режиме хранения, и ее состояние не зависит от других управляющих сигналов, сигналов адреса и сигналов данных. Выводы D0–D7 микросхемы при этом находятся в состоянии высокого импеданса. В таком режиме микросхема потребляет минимальную мощность. Операции записи и считывания возможны при активном сигнале СЕ (напряжение низкого уровня на входе nСЕ). При напряжении низкого уровня на входе nWE происходит запись информации в определенные ячейки памяти в соответствии с сигналами на входах данных (D0–D7) и адресным кодом на входах адреса (А0–А18). По каждому адресному коду происходит выборка восьми ячеек памяти (по одной в каждом разряде) и записывается восемь бит входной информации (по одному в каждую ячейку). Низкий уровень на входе nWE переводит выходы микросхемы в третье состояние (состояние высокого импеданса) независимо от уровня сигнала на входе nОЕ. Считывание происходит при напряжении высокого уровня на входе nWE, информация появляется на выходах микросхемы в соответствии с адресным кодом на входах адреса и при наличии напряжения низкого уровня на входе nОЕ. Сигнал nОЕ управляет выходными буферами, обеспечивая их переход в третье состояние (при напряжении высокого уровня на входе nОЕ) независимо от состояния других управляющих сигналов. При записи и чтении информации в микросхеме 1645РУ5У используются стандартные для данного типа запоминающих устройств способы управления, представленные на временных диаграммах и кратко описанные ниже. Считывание информации по сигналам адреса (рис. 1), при этом на входах управляющих сигналов установлены постоянные уровни входных напряжений: на входе nWE — напряжение высокого уровня, на входе nCE — напряжение низкого уровня и на входе nOE — напряжение низкого уровня. Считываемая информация при этом появляется после каждой смены адреса через время выборки по адресу — tA(A). Считывание информации по сигналам nCE и nOE (рис. 2) при наличии напряжения высокого уровня на входе nWE при установившихся адресных сигналах. Считываемая информация появляется после установления напряжения низкого уровня на входах сигналов nCE и nOE через время выборки по сигналу nCE — tA(СЕ) и время выборки по сигналу nОE — tA(ОЕ) соответственно. Запись информации по сигналу nCE (рис. 3) при наличии напряжения низкого уровня на входе сигнала nWE и напряжения высокого уровня на входе сигнала nОE. Сигналы входных данных устанавливаются в этом случае относительно положительного фронта сигнала nCE. Запись информации по сигналу nWE (рис. 4) при наличии напряжения низко-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

компоненты

49

Рис. 1. Временная диаграмма цикла чтения 1 при UnCE = UIL, UnWE = UIH, UnОE = UIL

Рис. 2. Временная диаграмма цикла чтения 2. Управление по nOE при UnWE = UIH

Рис. 3. Временная диаграмма цикла записи 1. Управление по nCE при UnOE = UIH

Рис. 4. Временная диаграмма цикла записи 2. Управление по nWE при UnOE = UIH на протяжении цикла записи

www.kite.ru


компоненты

50

память

Рис. 5. Временная диаграмма цикла записи 3. Управление по nWE при UnOE = UIL на протяжении цикла записи

новости

го уровня на входе сигнала nСE и напряжения высокого уровня на входе сигнала nОE. Сигналы входных данных устанавливаются в этом случае относительно положительного фронта сигнала nWE. Запись информации по сигналу nWE (рис. 5) при наличии напряжения низкого уровня на входе сигнала nСE и напряжения низкого уровня на входе сигнала nОE. Сигналы входных данных в этом случае также устанавливаются относительно положительного фронта сигнала nWE, но длительность сигнала записи tW(nWE) нужно увеличить на время перехода выходов из активного состояния (высокого или низкого уровня) в состояние высокого импеданса — tPLZ(nWE–D)(tPHZ(nWE–D)). Микросхема выпускается в 64‑выводном металлокерамическом корпусе 5134.64-6. n

рынок

НПК «Фотоника» — дистрибьютор GWIC капиталом более $4000 млн. GWIC проектирует и выпускает сенсоры из оксида ванадия (VOx) с охлаждающим элементом Пельтье, а также занимается проектированием и изготовлением модулей на базе данных сенсоров. Компания принимает активное участие в международных выставках, представляя все новые продукты. На данный момент в ассортименте GWIC есть сенсоры и модули с разрешениями 160×120, 384×288 и 640×512 пикселей (размер пикселя 20 и 25 мкм). www.npk-photonica.ru

Реклама

НПК «Фотоника» стала официальным дистрибьютором китайской компании GWIC на территории России и Белоруссии. Двухстороннее подписание соглашения о сотрудничестве между компанией GWIC и НПК «Фотоника» состоялось в рамках выставки оптических технологий и систем Optatec во Франкфурте. GWIC — это стремительно развивающаяся компания, которая уже является одним из ведущих китайских производителей на рынке датчиков и модулей для дальнего ИК-диапазона. Компания GWIC основана в 2006 году с уставным

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


52

новости

встраиваемые системы

Платформа для разработчиков Jetson TK1

Платформа разработок NVIDIA Jetson TK1 включает: • Основную плату Jetson. • «Систему-на-чипе» Tegra K1. • NVIDIA Kepler GPU c 192 ядрами CUDA. • Четырехъядерный процессор ARM Cortex-A15 с архитектурой NVIDIA 4‑Plus‑1. • 2 Гбайт памяти. • Накопитель eMMC объемом 16 Гбайт. • Gigabit Ethernet. • Разъем USB 3.0. • SD/MMC-слот. • Разъем mini PCIe.

• Разъем HDMI 1.4. • Слот SATA. • Аналоговый стереовыход/гнездо для микрофона. • Последовательный порт RS‑232. • Порты расширения для подключения дополнительного дисплея, стандартных устройств ввода/вывода данных и высокоскоростного интерфейса камеры. • Блок питания и кабели. • Кабель micro USB-USB. www.eltech.spb.ru

Реклама

Компания NVIDIA представила платформу разработчиков Jetson TK1 — «первый мобильный суперкомпьютер». Платформа NVIDIA Jetson TK1 — это полноценный ПК, созданный для разработки мобильных приложений и приложений для встраиваемых систем. Платформа построена на процессоре Tegra K1, первом мобильном процессоре с поддержкой CUDA. Tegra K1 содержит 192 программируемых ядра, что обеспечивает более чем 300 Гфлопс вычислительной мощности. Ядра выполнены на базе той самой архитектуры Kepler, которая находится в основе самых быстрых в мире суперкомпьютеров. Сочетание архитектуры Kepler и поддержки технологии CUDA делают Jetson TK1 отличным решением для разработки приложений в таких областях, как компьютерное зрение, робототехника, медицина, безопасность, автомобилестроение. NVIDIA предоставляет все опции BSP и программный стек, включая CUDA, OpenGL 4.4 и набор инструментов NVIDIA VisionWorks. Благодаря полному набору средств, предназначенных для разработки и профилирования, а также встроенной поддержке камер и других периферийных устройств, NVIDIA предлагает идеальное решение, которое поможет сформировать будущее встраиваемых систем. Jetson TK1 поддерживает операционную систему Linux и подходит для создания приложений и систем в широком спектре отраслей.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


компоненты

54

ПЛИС

Микросхемы ПЛИС Speedster22i от Achronix: самые быстрые и самые большие. Часть 1

Иосиф Каршенбойм iosifk@narod.ru

Введение Безусловно, на рынок ПЛИС приходят новые игроки. Например, в 2006 году появилась фирма SiliconBlue [1]. Это была фаблесс-компания, производившая свои ультрамалопотребляющие ПЛИС — mobileFPGA по 65‑нм техпроцессу на TSMC. Но появление данной фирмы практически никакого влияния на рынок ПЛИС в целом не оказало. Не произошло этого потому, что ее продукция выпускалась на том же самом TSMC и по тем же технологиям, что и продукция конкурентов. В итоге в 2012 году фирма SiliconBlue была поглощена фирмой Lattice. Так что же, рынок ПЛИС столь незыблем? Или что-то способно его изменить? Как из-

По составу участников рынок ПЛИС крайне консервативен. И вот уже более 30 лет его основными игроками остаются компании Xilinx и Altera, все эти годы усиленно конкурирующие между собой. Причем идут они «голова в голову», так что не только основные характеристики микросхем, но и номера версий их инструментального ПО практически сравнялись. Итак, борьба наблюдается нешуточная, что дало повод одному американскому обозревателю следующим образом прокомментировать ситуацию: «По сравнению с битвой гигантов на рынке ПЛИС конкуренция между Intel и AMD напоминает драку мальчиков». Остальные производители не оказывают почти никакого влияния на положение дел, занимая свои узкоспециализированные ниши. Такие условия обеспечивали двум ведущим компаниям комфортную жизнь за счет относительно высокой цены на свою продукцию.

вестно, в горах маленький камешек, падая со склона, может породить большую лавину. И здесь роль такого маленького камешка сыграли гаджеты. Именно гаджеты вызвали лавину спроса на мобильные приложения и тем самым изменили рынок ПЛИС. Бурный рост рынка гаджетов и ноутбуков привел к падению продаж настольных компьютеров. Причем настолько, что у ведущего производителя процессоров для настольных компьютеров — фирмы Intel возник определенный спад, который привел к недогрузке производственных линий. Как мы помним, ранее Intel не так сильно тревожилась из-за подобных проблем. Но сейчас в секторе рынка производителей микросхем тоже грядут перемены. Близится переход с кремниевых

Рис. 1. Этапы перехода на новые технологические нормы для микросхемы Speedster22i HD1000

пластин диаметром 300 мм на пластины диаметром 450–500 мм. Это повысит расходы на строительство фабрик и производственное оборудование, но одновременно и удешевит микросхемы. А значит, гаджеты станут еще доступнее. И отсюда следует самый главный вывод. Фирма Intel заинтересована в ускоренной амортизации оборудования и предложила свои производственные мощности фаблесс-компаниям. Далее не трудно «сложить пазл». Большие процессорные корпуса, миллионы вентилей на кристалле по новейшим проектным нормам, много сотен выводов. Желательно получить в производство кристалл с регулярной структурой, типа памяти. А уж память — любимая продукция Intel, которую фирма умела производить еще в «допроцессорную эру». Так кого же выбрала Intel? Или, скажем так, кто же выбрал Intel как свою фабрику? Конечно, фирма, которая решилась производить ПЛИС! Вот о ее продукции и пойдет речь в нашей статье. Итак, тихая идиллия на рынке ПЛИС была нарушена небольшой, но амбициозной компанией, предложившей альтернативу ПЛИС Xilinx и Altera, и сразу в категории Hi-End. По оценке Electronic Enjeneering Journal [2], с появлением третьего производителя рынок ПЛИС никогда не будет прежним, а конечный потребитель получит существенную выгоду от расширения конкуренции. Американская компания Achronix [3] — самый молодой производитель ПЛИС — основана в 2004 году группой специалистов из Корнельского университета, к которой

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

присоединились ветераны компаний Intel, Altera и Xilinx. Это фаблесс-компания, которая работает в стратегическом союзе с Intel и выпускает свои микросхемы на заводах Intel. Начав с 180‑нм техпроцесса, к настоящему времени компания изготавливает свои ПЛИС по самому современному 22‑нм техпроцессу Intel Tri-Gate и намерена перейти на 14‑нм, а в дальнейшем и на 10‑нм техпроцесс (рис. 1). ПЛИС Speedster22i (рис. 2) выпускаются непосредственно на заводах Intel, расположенных в США. Семейство Speedster22i нацелено на сегмент высокопроизводительных ПЛИС и схем для высокоскоростных сетевых устройств. По сравнению с традиционными изготовителями конкурентным преимуществом этих микросхем является как разумная (для сегмента Hi-End) цена ПЛИС, так и широкий набор аппаратных IP-ядер, включая ядра DDR‑3, PCI-express v3, Interlaken и 10/40/100G Ethernet MAC. На данный момент начат выпуск семейства ПЛИС категории Hi-End Speedster22i с рабочей частотой LUT до 750 МГц и производительностью Serdes по 12,75 Гбит/с на канал и 28 Гбит/с на канал в Speedster22i HD1500. Великий полководец Наполеон Бонапарт говорил, что сражения всегда выигрывают только «большие батальоны». Так вот, для ПЛИС сегмент Hi-End — это действительно «большие батальоны». И тут все немного не так, как в привычных нам среднеценовых ПЛИС. Давайте рассмотрим ситуацию подробнее. Фирма Xilinx, например, чтобы перейти рубеж в миллион LUT, научилась делать микромодули, то есть сборки из нескольких кристаллов со сквозными цепями между ними. И это «правый край» для их седьмой серии. А вот для Speedster22i на миллион LUT — это не край, а только середина серии, потому как уже на подходе Speedster22i HD1500 с его 1,75 млн LUT. А за этим следуют и другие очевидные вещи. Нам понятно, что какая-нибудь «железяка» для болида «Формулы‑1» или колесо для карьерного грузовика стоит больших денег. То же самое и для ПЛИС. Для «тяжеловесов» и программное обеспечение «весит» больше. И даже не в денежном плане, не об этом речь. Для компиляции «тяжелых» проектов нужны самые производительные компьютеры и очень много оперативной памяти. Для высокоскоростных каналов передачи информации, например, таких как Ethernet 10/40/100 Гбит/с, тоже требуется довольно дорогое оборудование. Да, затраты велики, но и выигрыш внушителен. Ведь рано или поздно все те, кто не перешел на самые быстрые микросхемы ПЛИС, не выдержат гонку за быстродействие. Ну а теперь давайте рассмотрим архитектуру микросхем — этому посвящена первая часть статьи, а затем перейдем к периферии, программным инструментам и стартовым наборам.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

компоненты

55

Рис. 2. Топология микросхемы Speedster22i HD1000

Сайт компании Сайт компании [3, 4] представлен на английском и русском языках. Там приводится информация о самой компании, о ее продукции, а также размещены новости и статьи. Сразу же хочется отметить следующее. Все выложенные документы можно получить свободно, никаких ограничений типа NDA не требуется. Большая часть документации — на английском, хотя некоторые файлы уже переведены на русский на русскоязычном же сайте. Также имеются видеоролики, из них наибольший интерес представляют те, в которых показаны испытания стартового набора при проверке аппаратных IP-контроллеров и программных инструментов: • О б з о р п р о г р а м м н о го о б е с п е ч е н и я Achronix CAD Environment (ACE). • Лабораторные испытания PCI Express. • Лабораторные испытания 10G Ethernet. • Лабораторные испытания передачи SerDes. • Лабораторные испытания приема SerDes. • Лабораторные испытания отладчика Snapshot Debugger. Спецификации приводятся как на программные инструменты и микросхемы, так и на отдельные части этих микросхем, а именно на все аппаратные IP-блоки. В спецификациях есть подробные описания блоков. Для конфигурации IP-блоков в программных инструментах предусмотрены визарды. В тех же «даташитах» предлагаются подробные описания методики конфигурации визардов, выполняемой в программных инструментах. Программные инструменты Отдельный раздел сайта посвящен программным инструментам. Среда разработки компании Achronix — ACE использует для синтеза внешние стандартные синтезаторы. ACE поставляется с уже оптимизированными версиями Synplify-Pro от компании Synopsys или Precision Synthesis от Mentor Graphics. Для симуляции применяются ModelSim от Mentor Graphics, VCS от Synopsys и Riviera

от Aldec. Проектирование ведется на стандартных языках Verilog и VHDL. Это без особых усилий позволяет инженерам переводить уже имеющиеся разработки на платформу Achronix Speedster22i. Демонстрационная лицензия на программное обеспечение предоставляется на три месяца по запросу.

Продукция компании Achronix в России В России продукция компании поставляется через официальное представительство Achronix, компанию «Лаборатория высокопропускных СБИС» [4, 5]. Сейчас оно осуществляет поставки ПЛИС Speedster22i HD680 и HD1000, а также отладочных плат HD1000 development kit, предназначенных для разработки сложных и высокопроизводительных устройств, включая те, которые функционируют на 100G Ethernet. Об этом стартовом наборе автор планирует написать в дальнейшем. Также представительство осуществляет квалифицированную техническую поддержку заказчиков на всех этапах разработки и производства продукции. Но, как говорится, хочется не только почитать, но и хоть один раз попробовать. Именно поэтому представительство и компания «Лаборатория высокопропускных СБИС» работают над проектом виртуального стартового набора. Таким образом, довольно скоро можно будет взять свой «боевой» проект, заменить в нем примитивы, связанные с «родословной» изготовителя микросхем, и запустить в удаленном режиме компиляцию проекта для Speedster22i HD1000. И посмотреть, что получится в итоге.

Архитектура ПЛИС Achronix семейства Speedster22i HD Микросхемы семейства Speedster22i HD [6], работающие на максимальной частоте до 750 МГц, имеют эффективную плотwww.kite.ru


56

компоненты

ность до 1,7 млн LUT. Они выполнены по 22‑нм техпроцессу на фабрике Intel. Конфигурационные ячейки микросхем созданы на основе памяти SRAM, поэтому они не имеют энергонезависимой памяти конфигурации и могут быть полностью реконфигурируемыми при каждом включении или во время работы. Логические ячейки выполнены как стандартный синхронный блок с 4 входами LUT. У реконфигурируемого логического блока (RLB) десять LUT и десять регистров. Микросхемы также содержат блочные памяти RAM. Каждая блочная память RAM имеет объем 80 кбит и представляет собой двухпортовую память. Часть микросхемы, отвечающая за ввод/вывод сигналов, содержит встроенные IP-контроллеры, конфигурируемые стандартные входы/выходы (I/O), высокоскоростные блоки последовательной передачи данных SerDes, блоки генераторов синхрочастоты со схемами фазовой автоподстройки частоты (PLL) и логику, требуемую для конфигурации микросхемы. Микросхема включает до 64 узлов SerDes, работающих на скорости до 12,75 Гбит/с, до 16 узлов SerDes на 28 Гбит/с и еще до 996 высокоскоростных реконфигурируемых I/O. Дополнительный выделенный аппаратный IP-узел предусматривает: • до шести DDR2/3‑трансиверов и контроллеров; • до 48 контроллеров Ethernet на 10 Гбит/с; • до 12 контроллеров Ethernet на 40 Гбит/с; • до четырех контроллеров Ethernet на 100 Гбит/с. Также есть до четырех контроллеров сети Interlaken и два контроллера PCI, все они реализованы как встроенные аппаратные IP-ядра и поэтому не используют логику микросхемы, имеют максимальную произ-

ПЛИС

Рис. 3. Схема расположения блоков в микросхеме Speedster22i HD 1000

водительность и не накладывают на линии коммутации внутри микросхемы никаких дополнительных требований по синхронизации. Кроме того, имеются выделенные выводы микросхемы для встроенного программирования и конфигурации (CFG), которая выполняется различными способами. Выделенные контакты ввода/вывода синхрочастоты располагаются около каждого угла микросхемы. На рис. 3 показана схема расположения блоков в микросхеме Speedster22i.

Семейство ПЛИС HD Семейство ПЛИС Achronix Speedster22i HD представлено тремя микросхемами: HD680, HD1000 и HD1500. Характеристики микросхем указаны в таблице 1. Внешний вид микросхем семейства Speedster22i HD дан на рис. 4. Таблица 1. Характеристики микросхем серии Speedster22i HD Параметры

HD680

HD1000

HD1500

Объем логики, включая аппаратные контроллеры 660 000 1 045 000 1 725 000 (эффективных ячеек LUT) Объем программируемой логики 400 000 700 000 1 100 000 (в LUT) Количество модулей BRAM 600 1026 1728 Количество модулей LRAM 4320 6156 10 368 Объем 80-килобитных BRAM 48 000 82 080 138 240 (всего кбит) Объем 640-битных LRAM (всего кбит) 2765 3940 6636 Умножителей/MACs (28×28) 240 756 864 Количество линий SerDes 12,75 Гбит/с 40 64 48 Количество линий SerDes 28 Гбит/с – – 16 Аппаратных контроллеров Ethernet 2 2 4 (MAC-уровень) 10/40/100 Гбит/с Контроллеров Interlaken LLC 1 2 4 Контроллеров PCI Express LLC 1 2 2 Контроллеров DDR2/DDR3 4 6 6 Количество PLL 16 16 16 FBGA2601 (52,5×52,5 мм): 12G – 64 48 28G – 0 16 GPIO – 960 960 FBGA1936 (45×45 мм): 12G 40 40 20 28G 0 0 4 GPIO 684 684 684 FBGA1520 (40×40 мм): 12G 18 – – 28G 0 – – GPIO 684 – –

Рис. 4. Микросхема Speedster22i HD1000

Примечание. Шаг контактов (ball pitch) — 1 мм.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

Прежде чем приступить к дальнейшему описанию микросхем, необходимо сделать небольшое отступление. Разработчики компьютеров давно применяют «географическую» систему обозначений в своих проектах. Это всем известные «северный» и «южный» мосты. В компании Achronix тоже принята «географическая» система обозначений областей кристалла. Верхняя область в их документации называется «северная», нижняя — «южная». Соответственно «западная» и «восточная» — это правая и левая область кристалла. И в нашей статье мы будем придерживаться терминологии, принятой в описаниях фирмы.

Аппаратные IP-ядра Микросхемы Speedster22i имеют набор аппаратных контроллеров интерфейсов в пространстве ввода/вывода. Буферы ввода/вывода общего назначения (GPIO) могут работать в однополярном и дифференциальных режимах на частотах до 2133 МГц. Линии SerDes функционируют на скоростях до 28 Гбит/с. Выводы микросхемы могут использоваться как стандартные GPIO, так и аппаратные контроллеры 10, 40 и 100 Гбит Ethernet, Interlaken, PCI Express 1, 2 и 3 поколений и контроллеры DDR3. Преимущества аппаратных контроллеров интерфейсов: • Расходуют всего 1/8 энергии, которую потребляли бы аналогичные контроллеры, реализованные на программируемой логике лучших ПЛИС конкурирующих производителей. • Предоставляются без необходимости покупки дополнительных лицензий на использование. • Работают с необходимой производительностью без каких-либо дополнительных усилий со стороны разработчика. • Экономят время разработчика на оптимизации временных задержек, разводке и т. п. стандартной функциональности интерфейса, сокращая время выхода продукта на рынок и снижая риски. Контроллеры 10/40/100-гигабитного Ethernet Микросхемы Speedster22i включают до четырех независимых Ethernet MACконтроллеров, позволяющих сократить энергопотребление и значительно упрощающих процесс разработки. Контроллеры 10/40/100-гигабитного Ethernet MAC и PCS разработаны в полном соответствии со спецификацией IEEE P802.3ba draft 2.2. Они могут использоваться для работы как в режиме сетевой карты, так и в коммутационных устройствах. Набор конфигурационных регистров позволяет как динамически настраивать контроллер для терминации и формирования MAC-фреймов, так и передавать MAC-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

компоненты

57

фреймы без изменения в пользовательское устройство или в Ethernet-линию. Независимо от режима применения контроллер поддерживает объекты IEEE, IETF MIBII и RMON для устройств управления трафиком (SNMP). Контроллеры канального MAC и PCS могут быть собраны в одну из следующих конфигураций: • от 1 до 12 10‑гигабитных Ethernet-каналов; • 1 100‑гигабитный канал + 1 или 2 10‑гигабитных канала; • от 1 до 3 40‑гигабитных каналов; • от 1 до 4 10‑гигабитных каналов + 1 или 2 40‑гигабитных канала; • от 1 до 8 10‑гигабитных каналов + 1 40‑гигабитный канал.

Основные технические характеристики контроллеров: • Совместимость с базовой спецификацией PCI Express revision 3.0 version 0.9; обратная совместимость со стандартами PCI Express 2.1/2.0/1.1/1.0a. • 1, 4 или 8 линий PCI Express. • Поддержка скоростей: 8, 5 и 2,5 GT/s. • Поддержка только режима конечного устройства (endpoint). • Конвейеризируемый физический уровень (PHY). • Поддержка как автономного, так и программно-управляемого выравнивания (equalization). • Аппаратный DMA.

Контроллеры DDR1/2/3 Микросхемы Speedster22i содержат до шести встроенных контроллеров DDR1/2/3, которые могут быть использованы для работы с микросхемами памяти DDR3 и модулями DIMM. Каждый контроллер DDR поддерживает работу по шине до 72 разрядов на скорости до 1866 Гбит/с (1066 МГц DDR). Контроллер и физический интерфейс действуют на частотах до 1066 МГц. Контроллер DD3 поддерживает режим «авто» и custom. В режиме «авто» такие функции, как активация (activating)/предзарядка (precharging) банка/строки, запуск алгоритмов калибровки и последовательностей инициализации, проводятся незаметно для разработчика встроенным контроллером DDR. Назначение байтовых линий на выводы микросхемы выполняется незаметно для разработчика встроенным контроллером физического уровня (PHY) DDR. В режиме custom можно вручную переопределить такие функции, как автоматическое обновление и последовательности инициализации.

12.75G SerDes Все микросхемы Speedster22i имеют встроенную поддержку SerDes для реализации различных протоколов. Микросхема содержит до шестидесяти четырех узлов SerDes, работающих на скорости до 12,75 Гбит/с, до шестнадцати SerDes на 28 Гбит/с. Каждая линия SerDes может быть использована для связи: • между микросхемами; • через коммутационную плату; • по кабелю. Для передачи данных через коммутационную плату (или не витую пару) на скоростях более 5 Гбит/с предусмотрены дополнительные возможности, включая Transmit equalization и decision feedback equalization (DFE).

Interlaken Interlaken — это масштабируемый интерфейс связи между микросхемами, разработанный для высоких скоростей передачи: от 10 до 100 Гбит в секунду и выше. Используя последние технологии SerDes и гибкий протокольный уровень, Interlaken минимизирует издержки энергопотребления и количество контактов. Одно из основных преимуществ Interlaken — его масштабируемость и гибкость в различных конфигурациях системы. Микросхемы имеют встроенную полностью аппаратную поддержку Interlaken, отличающуюся высокой производительностью и низким энергопотреблением. Скорость портов SerDes может варьироваться от 4,6 до 12,5 Гбит/с. PCI Express Встроенные аппаратные контроллеры PCI Express микросхемы Achronix Speedster22i поддерживают все три уровня протокола (физический, канальный и транзакционный) в соответствии со стандартом PCI Express.

Ядро FPGA Ядро микросхем Achronix Speedster22i HD содержит столбцы логики, памяти и умножители-аккумуляторы (BMAC), соединенные с линиями глобального межсоединения, как показано на рис. 2. Столбцы реконфигурируемых логических блоков (RLB) перемежаются столбцами блочной памяти (BRAM), локальной памяти (LRAM) и блоками умножителей-аккумуляторов (BMAC). Ядро также включает глобальные и локальные сети сигналов тактовых частот и сети сброса. Столбцы логики показаны на рис. 5. Линии коммутации Блоки RLB, BRAM, LRAM и BMAC соединяются универсальными глобальными линиями коммутации, по которым сигналы передаются между блоками. Сигналы коммутируются между вертикальными и горизонтальными дорожками маршрутизации. Входы и выходы от каждого блока RLB/BRAM/LRAM/BMAC соединяются с глобальными межсоединениями. В качестве примера на рис. 6 показан блок RLB с восемью входами и двумя выходами. Реконфигурируемый логический блок (RLB) Реконфигурируемый логический блок (RLB) состоит из пяти логических кластеров, www.kite.ru


58

компоненты

ПЛИС

Рис. 5. Столбцы RLB, BRAM, LRAM и BMAC в ядре микросхемы Speedster22i HD1000

каждый из которых содержит два LUT и два регистра. В общей сложности это дает десять LUT с четырьмя входами в каждом RLB. Есть два типа логических кластеров: простой логический кластер (LLC) и усиленный логический кластер (HLC). Их описание будет приведено далее. У каждого RLB есть три LLC и два HLC. У HLC есть большая функциональность, чем у LLC, поскольку он имеет усовершенствованную цепочку переноса, тогда как у LLC есть мультиплексор — MUX2. RLB показан на рис. 7. По существу, каждый RLB состоит из пяти логических кластеров, два из которых содержат цепочку

Рис. 7. Реконфигурируемый логический блок

Рис. 6. Глобальные линии коммутации

переноса. Выделенные цепи для переноса, вход и выход позволяют каскадировать цепи переноса через несколько RLB. Эффективная обратная связь в RLB Внутри RLB есть несколько механизмов обратной связи. То есть для того, чтобы получить эффективную обратную связь, сигналы обратной связи «заворачиваются» внутри RLB и не выводятся наружу, чтобы снова попасть на вход RLB, но уже через внешние ресурсы маршрутизации. В RLB есть одна матрица, которая мультиплексирует следующие сигналы:

• Входные сигналы — входы RLB и сигналы внутренней обратной связи, поступающие к логическим кластерам. • Выходные сигналы — выходы логических кластеров (защелкнутые на триггерах или не защелкнутые на триггерах) к выходам RLB, а также там, где требуется обратная связь, сигналы подаются к входам матрицы. Прохождение сигналов обратной связи в RLB показано на рис. 8. Оба выхода от каждого LUT, защелкнутые на триггерах или не защелкнутые на триггерах, могут также быть направлены от выходной матрицы назад, как сигналы обратной

Рис. 8. Прохождение сигналов обратной связи в RLB

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

компоненты

59

Рис. 11. Блочная память BRAM

Таблица 2. Технические характеристики BRAM Рис. 9. Легкий логический кластер

Величина

Значение

Объем

80 кбит 2К×40, 2К×36, 2К×32, 4К×20, 4К×18, 4К×16, 8К×10, 8К×9, 16К×5, 16К×4, 32К×2 или 64К×1 750 МГц В колонках по всей микросхеме Двухпортовая с независимым чтением и записью Синхронный

Организация Производительность Физическая реализация Число портов Доступ к порту

рована. Возможные организации форматов блоков перечислены в таблице 2. При этом у одного и того же блока памяти организация разрядности портов может быть различная, а общий объем памяти, доступной по каждому из портов, должен быть одинаковым.

Рис. 10. Усиленный логический кластер

связи, во входную матрицу, непосредственно в RLB. Но если нужно, то выводы от RLB могут быть направлены назад на входы и через внешнюю маршрутизацию. Логические кластеры Легкий логический кластер (LLC) показан на рис. 9. Он содержит стандартный LUT с 4 входами — основной логический конструктивный блок структуры. Каждый LUT имеет четыре входа и один выход и может быть сконфигурирован так, чтобы на выходе выдать любое комбинаторное состояние функции входов (таблица истинности). Два LUT с четырьмя входами реализуют одну функцию LUT с пятью входами с использованием мультиплексора MUX2. Мультиплексор MUX2 может выполнять реализации определенных функций с шестью, семью, восемью и девятью входами. Мультиплексирование блоков (показанных на рис. 9) обеспечивает гибкий доступ к двум выводам регистра. Усиленный логический кластер (HLC) представлен на рис. 10. Большинство функций, возможных с легким логическим кла-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

стером, реализуется и в HLC. Кроме того, у каждого кластера есть 2‑разрядный сумматор, так же как и логика, необходимая для генерации арифметического сигнала переноса и распространения к HLC на «север» (вверх), к соответствующему входу RLB, и в передаче сигнала от его соседа на «юг» (то есть вниз).

Ресурсы памяти Блочная память BRAM Блочная память BRAM, находящаяся на кристалле Speedster22i, имеет объем 80 кбит и может работать как двухпортовая память (2 независимых порта чтения/записи). BRAM может функционировать в режимах WRITE_FIRST (сквозной записи) и в режиме NO_CHANGE (без изменений). Режим READ_FIRST (сначала чтение) не реализован. Технические характеристики BRAM приведены в таблице 2 и иллюстрируются на рис. 11. Организация Организация каждого порта блока памяти RAM может быть независимо сконфигури-

Работа Операции чтения и операции записи памяти выполняются как синхронные. Каждый порт способен работать на своей тактовой частоте. Для большего быстродействия может быть включен дополнительный выходной регистр. Однако включение выходного регистра добавит дополнительный цикл задержки при чтении. Каждый сигнал разрешения записи (wea/web) управляет записью 10‑разрядного слова, а набор из четырех сигналов разрешения используется для записи 20 или 40 битов. Начальное значение содержания памяти определяется пользователем, или с помощью соответствующих параметров, или в файле инициализации памяти. Начальные значения выходных регистров по инициализации или по сбросу могут также быть определены пользователем. Значения по сбросу не зависят от начального значения памяти, которое было при включении питания. Параметры porta_write_ mode/portb_write_mode определяют значение выходных данных порта во время операции записи. Когда porta_write_mode/portb_ write_mode устанавливается в write_first, то douta/doutb устанавливается в значение, которое пишется на линиях порта dina/dinb во время операции записи. Установка porta_ write_mode/portb_write_mode в значение no_change сохраняет неизменные значения на линиях порта douta/doutb во время операции записи в porta/portb. www.kite.ru


компоненты

60

ПЛИС

Встроенный контроллер FIFO У каждого блока BRAM есть встроенный контроллер FIFO. Каждый FIFO способен работать с двумя независимыми портами, у каждого из них есть свой вход сигналов тактовой частоты. На эти входы могут быть поданы одни и те же сигналы тактовой частоты, или они могут работать от разных сигналов тактовой частоты, асинхронно друг относительно друга. Коррекция ошибок BRAM имеет встроенные аппаратные средства контроля и исправления ошибок (ECC), позволяющие устранять однобитовые ошибки и обнаруживать двухбитовые ошибки в 32‑разрядной шине данных. Внутренняя схема устранения ошибки действует как в режиме RAM, так и в режиме FIFO. Реализация пользователем битов четности или кодов коррекции ошибки (ECC) для 32‑разрядной шины данных требует дополнительных 8 битов, что в сумме приводит к 40‑разрядной шине. Однако эти служебные биты могут использоваться и в других целях, таких как тегирование, функции управления и т. д. Локальная RAM (LRAM) Локальная RAM (LRAM640) реализована как 640‑битовый блок памяти с одним портом записи и одним портом чтения. Тактовые цепи для портов записи и чтения — раздельные. Блоки LRAM находятся в специальных отдельных столбцах и равномерно распределены по всей площади кристалла. Каждый блок LRAM — это отдельный 640‑битовый блок выделенной памяти. Все функции LRAM приведены в таблице 3. Порты LRAM изображены на рис. 12.

Рис. 12. Локальная RAM (LRAM640)

Таблица 3. Локальная RAM, основные характеристики Величина

Значение

Размер блока логической памяти Организация Производительность Физическая реализация

640 бит

Число портов Доступ к порту

64×10 750 МГц Столбцы по всей микросхеме Двухпортовый режим (одна шина на чтение, одна шина на запись) или однопортовый режим (один порт чтения-записи) Синхронный по записи, синхронный или асинхронный по чтению

Рис. 13. Блок-схема умножителя-аккумулятора (BMACC56)

Организация Память LRAM640 может быть сконфигурирована либо как простая двухпортовая память 6410 (один порт записи, один порт чтения), либо как однопортовая память 6410 (один порт чтения/записи). Инициализация и сброс По умолчанию содержание памяти LRAM640 не определено. Если пользователь хочет, чтобы начальное содержание памяти было определено, то начальное содержимое блочных RAM может быть загружено во время конфигурации устройства. Это состояние может быть определено параметрами MEM_ INIT при проектировании или же прочитано из инициализационных файлов при создании загрузочного файла. При аппаратном сбросе содержание RAM не изменяется. Работа У LRAM640 есть синхронный порт записи. Порт чтения может быть сконфигурирован или для асинхронных, или для синхронных операций чтения. У вывода порта чтения есть свой регистр, однако выходные сигналы могут быть направлены в обход этого регистра. Память организуется с прямым порядком расположения байтов (little-endian), так что бит 0 отображается как нулевой разряд параметра mem_init и бит 639 отображается как бит 639 из параметра mem_init. Применение Локальную RAM удобно применять в качестве FIFO небольшого размера для согласования потоков данных. Такой подход позволяет существенно экономить блочную память. Также эту память можно использовать как своего рода супер-LUT-ячейку. Умножители-аккумуляторы (BMACC56) Умножение с накоплением — важная часть цифровой обработки сигналов в реальном времени (DSP), широко используемая для цифровой фильтрации при обработке изображений. Блок умножителя-аккумулятора (BMACC56) реализован как знаковый умножитель 2828 и способен работать на частотах до 750 МГц. Каждый такой умножитель имеет и дополнительный блок аккумулятора. Результат умножения приводится к 56‑разрядному результату. А это позволяет произво-

Таблица 4. Основные характеристики умножителя-аккумулятора (BMACC56) Величина

Значение

Тип арифметики

Знаковые вычисления

Производительность

750 МГц

Разрядность множителей

28×28

Разрядность аккумулятора

56

Разрядность цепи каскадирования

56

дить вычисления с очень большой точностью. Результат умножения подается или на 56‑разрядный аккумулятор, или непосредственно на выход, в обход аккумулятора. Основные характеристики приводятся в таблице 4. Микросхемы Speedster22i HD имеют достаточно много блоков BMACC56, также расположенных в столбцах. У каждого блока BMACC56 есть 56‑разрядный каскадный путь, соединяющий смежные (северные/южные) блоки BMACC56. Внутренняя блок-схема показана на рис. 13.

Цепи синхронизации и сброса Глобальная сеть тактовой частоты У микросхем Speedster22iHD есть две иерархические сети сигналов тактовых частот: глобальная сеть и прямая (direct) сеть. У обеих сетей есть общие входные источники: непосредственно входные контакты сигналов тактовых частот и выводы PLL, которые составляют глобальный генератор сигналов тактовой частоты (GCG). На GCG обычно производится восстановление частоты из данных, поступающих на SerDes, и сигналов тактовых частот, поступающих от GPIO. Эти входные сигналы направляются в «северную» и «южную» стороны кристалла и от них синхронизируется ядро ПЛИС. Глобальная сеть сигналов тактовых частот представляет собой сбалансированное дерево распределения сигналов, которое обеспечивает распределение этих сигналов ко всем узлам микросхемы. Сигналы тактовых частот направляются через коммутатор к центру микросхемы, а оттуда — во все домены синхрочастот и на «западных», и на «восточных» частях микросхемы. На рис. 14 показан высокоуровневый пример маршрутизации и путей соединения для глобальной сети сигналов тактовых частот.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

Рис. 14. Глобальная сеть сигналов тактовых частот

компоненты

61

Рис. 15. Прямая сеть сигналов тактовых частот

Прямая сеть тактовой частоты Прямая сеть тактовой частоты — система распределения импульсов, предусматривающая намного более низкую задержку сигналов тактовой частоты, что особенно полезно для сложных проектов, которые используют множество сигналов тактовых частот, и тогда, когда требуется, чтобы сигналы тактовых частот были сгенерированы внутри микросхемы и перераспределены к определенным частям ПЛИС. Для прямой сети каждое ответвление сигналов тактовой частоты ограничивается только областью этих сигналов тактовых частот, как это показано на рис. 15.

Компоненты сети сигналов тактовых частот Сеть сигналов тактовых частот в ядре микросхемы состоит из следующих стандартных блоков: • Верхние и нижние сигналы тактовых частот попадают на концентратор-мультиплексор, где выбирается ряд сигналов тактовых частот, приходящих из той части входных источников сигналов тактовых частот ПЛИС, как отмечалось ранее. • В центре микросхемы находится концентратор сигналов, позволяющий мультиплексировать различные сигналы тактовых частот, приходящие из нижней и верхней части микросхемы, и вместе с ними те сигналы, которые были сгенерированы при коммутации данных. Концентратормультиплексор распределяет сигналы тактовых частот во все области микросхемы. • Особые регионы сигналов тактовых частот: половина «восточной» области микросхемы и половина «западной» области микросхемы. Обе эти области сигналов тактовых частот содержат узел регионального менеджера сигналов такто-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 16. Базовая блок-схема сети сигналов тактовых частот

Рис. 17. Подробный вид области сигналов тактовых частот

www.kite.ru


62

компоненты

ПЛИС

вых частот (RCM), который выбирает требуемый сигнал из входящих прямых и глобальных сигналов тактовых частот и распределяет их столбцами в пределах той же области сигналов тактовых частот в ПЛИС. Высокоуровневая блоксхема компонентов сети сигналов тактовых частот и более подробный вид области сигналов тактовых частот показаны на рис. 16 и 17. Источники тактовой частоты Три источника сети сигналов тактовых частот — глобальные генераторы сигналов тактовых частот (GCGs), сигналы тактовых частот, восстановленные из входных данных в SerDes, и сигналы тактовых частот, приходящие от GPIO. В микросхеме есть четыре GCG — по одному в каждом углу микросхемы. Каждый GCG содержит шесть глобальных буферов ввода/вывода синхрочастоты (CB) и четыре блока PLL. Буферы сигналов тактовых частот могут использоваться или в качестве трех дифференциальных входов I/O, или в качестве шести несимметричных входов I/O. Если входы I/O не используются как буферы для сигналов тактовых частот, то они могут быть назначены в качестве универсальных входов или выходов. Блоки PLL (рис. 18) имеют низкий джиттер, широкий диапазон для входных сигналов, несколько выходов с независимыми значениями для фазы в выходном сигнале. Выходные сигналы PLL могут с частотой до 1066 МГц быть предназначены для работы ядра схемы. Блок-схема показывает высокоуровневое представление архитектуры PLL. Опорный сигнал тактовой частоты, в качестве которой может использоваться частота, поступающая либо от глобального буфера сигнала тактовой частоты либо от другого GCG, делится на делителе опорной тактовой частоты (6‑разрядный делитель имеет коэффициент деления: 1–63) и затем подается на PFD. VCO генерирует восемь сигналов с одинаково разделенными фазами, один из них отправляется на делитель обратной связи через мультиплексор, что позволяет PLL работать без дополнительных задержек. Все восемь сигналов отправляются к 4 фазовращающим устройствам, которые могут независимо выбрать одну из восьми фаз. Для этого применяется выходной делитель (6‑разрядный делитель имеет коэффициент деления: 1–63), с выходов которого сигналы подаются обратно в блок PLL. Для одного из 4 выходных сигналов тактовой частоты есть опция — этот сигнал подается на делитель обратной связи для компенсации задержки для данного сигнала после прохождения через дерево распределения сигнала тактовой частоты. У делителя обратной связи есть два режима работы: целочисленный режим, предлагающий коэффициенты от 2 до 255; дробный режим с разрешением 16 разрядов. В дробном режиме

Рис. 18. Блок-схема PLL

целочисленный диапазон делителя ограничивается 8–254. Характеристики PLL представлены в таблице 5. Для сигналов тактовых частот, сгенерированных в SerDes, для каждого SerDes есть свой собственный опорный сигнал тактовой частоты и своя собственная пара PLL: • блок PLL передачи, который синтезирует сигнал тактовой частоты передачи данных Tx непосредственно из опорного сигнала тактовой частоты и затем более медленную частоту для передачи слов данных; • блок PLL приема, который синтезирует сигнал тактовой частоты приема данных Rx и соответствующую частоту для приема слов данных. Таким образом, каждая линия SerDes обеспечивается двумя линиями импульсов, синхронизирующих передачу слов данных к ядру ПЛИС. Синхроимпульсы также могут быть получены от данных, приходящих в GPIO байтами. Синхроимпульсы, которые передаются по дифференциальным линиям связи, пропускаются через мультиплексор и концентратор, и для них рекомендуется использовать или глобальные, или прямые сети сигналов тактовой частоты. Сигналы тактовой частоты для byte-lane в ПЛИС Speedster22i передаются по 12 буферам ввода/вывода. Два из этих буферов могут применяться для приема или передачи сигнала тактовой частоты. Эти буферы могут использоваться в качестве одной дифференциальной пары для сигнала тактовой частоты или как два буфера однополярного сигнала для двух сигналов тактовых частот. Каждые из полученных сигналов тактовой частоты могут дополнительно быть задержаны с помощью DLL. Сеть сигналов тактовой частоты Byte-Lane поддерживает одинаковые четырехбайтовые линии. Например, есть три сети сигналов тактовой частоты Byte-Lane в банке ввода/вывода с 12 байтами: 0–3, 4–7 и 8–11. Каждая сеть сигналов тактовой частоты Byte-Lane может функционировать следующим образом: • восемь по 9 сетей сигналов тактовой частоты; • четыре по 18 сетей сигналов тактовой частоты;

Таблица 5. Характеристики работы PLL Характеристики работы Полоса пропускания Делитель обратной связи После-делитель Делитель опорной синхрочастоты Число после-делителей Поддержка дробной выходной частоты Spread Spectrum — распределенный спектр частот Задержка сигнала обратной связи (максимальная) Режим работы Внутреннее разделение фаз Внутренняя точность фазы Выходная точность фазы Число выбираемых фаз

Описание Отслеживание пропускной способности между 1/10 и 1/8 от частоты clk 8 бит (2–255). В дробном режиме поддерживает только 8–254 6 бит 6 бит 4 PLL работает с 16-разрядной точностью в режиме дробной выходной частоты Нет Половина периода разделенной синхрочастоты Нормальный Обход Пониженного энергопотребления Сброс 12,5% периода выходного импульса ±3,5% периода выходного импульса при 2 ГГц ±5% периода выходного импульса при 2 ГГц 8 (для каждой выходной синхрочастоты PLL можно выбрать или изменить на одну из этих восьми фаз динамически, без сбоя)

Максимальное изменение рабочего цикла Статическая ошибка фазы Джиттер — период

(50 ±2)%

±80 пс ±4% p2p периода выходного импульса 5ps (целочисленный режим Джиттер — от цикла до цикла делителя, типичное значение) Джиттер — долгосрочный 100 пс/sigma (худший случай) 500 периодов опорной синхрочастоты (целочисленный режим) Время захвата 1000 периодов опорной синхрочастоты (дробный режим) Сброс divide-by-1 30–50 МГц диапазона выходной частоты

• одна по 36 сетей сигналов тактовой частоты.

Ресурсы цепи сброса В этом разделе хочется добавить немного лирики. Если до сих пор мы говорили об обычных LUT, умножителях и пр., то вот раздел об организации сброса в микросхеме Speedster22i заслуживает особого внимания. Выше автор ссылался на высказывания Наполеона о том, что всегда побеждают «большие батальоны». Так вот, в этом разделе описания видно, что разработчики микросхемы не пошли проторенным путем. Для реализации цепей сброса они задействовали гораздо больше ресурсов, чем это обычно делается. Да, наверное, на частотах в 750 МГц

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

компоненты

63

ливается для каждого мультиплексора индивидуально, чтобы сбалансировать задержку для каждого сигнала сброса во всей микросхеме. Выводы конвейерного мультиплексора впоследствии распределяются по сети сброса в банках I/O и логических блоках (рис. 19).

Заключение

количество неизбежно должно было перерасти в качество. Так и здесь в дело введены дополнительные ресурсы — «большие батальоны». И они точно победили! В каждом углу микросхемы Speedster22i есть отдельные входные аппаратные блоки сброса, на которые заводятся сигналы сброса. Эти блоки получают как внешние сигналы сброса, поступающие на входы, так и сгенерированные в самом устройстве внутренние сигналы. Внешние входы сброса могут управляться выделенными входами сигналов тактовых частот, так же как некоторыми GPIO, расположенными в северо-восточной, юго-восточной, северо-западной или юго-западной стороне микросхемы. Внутренние входы сбросов формируются из принятых данных и сигналов тактовых частот в логической структуре. Сигналы, поступающие к входному блоку сброса, пришедшие внешне или сгенерированные внутренне, обязаны быть активны при низком уровне и не должны иметь сбоев или глитчей. Входные сигналы могут быть или асинхронными, или синхронными. А теперь внимательно читаем дальше! Асинхронный сброс аппаратно синхронизируется по заднему фронту этого сигнала в каждом домене сигналов тактовых частот, где этот сигнал используется. Конечно, синхронный сброс не нужно синхронизировать в том же домене сигналов тактовых частот, но он синхронизируется, когда используется в любом другом домене синхрочастоты, несинхронном с текущим доменом синхрочастоты. Это позволяет полностью прекратить дискуссии о том, какой сброс лучше: асинхронный или синхронный. И снимает головную боль от метастабильности,

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

от перетактовки сигналов при большом числе клоковых доменов. В целом это напоминает известный сюжет с микроконтроллерами, когда раньше приходилось для каждого прерывания ассемблерными командами производить сохранение контекста в стеке. А сегодня передовые микроконтроллеры делают это автоматически при обработке прерывания, избавляя разработчика от излишних проблем. Распределение сброса Сигналы сброса должны быть распределены как по блокам ядра ПЛИС, так и по кольцу I/O, которое включает GPIO, SerDes и аппаратные IP. Для сети сброса в ПЛИС нет какой-либо выделенной структуры, поэтому распределение сигналов сброса по ядру обычно выполняют, используя ресурсы сети сигналов тактовых частот. Для кольца I/O фактически есть выделенная 16‑битовая шина сброса, которая гарантирует сбалансированную задержку при выдаче и снятии сброса во всем устройстве. Это делается следующим образом: распределение сброса обрабатывается конвейерно, с помощью той синхрочастоты, с которой синхронизируется сброс. У каждой стороны микросхемы есть две группы сигналов сброса, работающие в противоположных направлениях. Каждая группа состоит из восьми сигналов сброса, охватывая всю микросхему конвейерным способом. Две группы сигналов сброса имеются в каждом банке ввода/вывода или логическом блоке (например, в контроллере DDR или в SerDes) и используют конфигурируемый конвейерный мультиплексор с конфигурируемой конвейерной задержкой. Конфигурация устанав-

Литература 1. http://en.wikipedia.org/wiki/SiliconBlue_ Technologies 2. http://www.eejournal.com/archives/articles/ 20130226‑achronix 3. www.achronix.com 4. www.achronix.ru 5. http://ru.wikipedia.org/wiki/Achronix 6. http://www.achronix.com/wp-content/uploads/ docs/Speedster22iHD_FPGA_Family_DS004.pdf

Реклама

Рис. 19. Кольцевая сеть сброса для ввода/вывода

Итак, мы ознакомились с первой из статей, посвященных новым микросхемам семейства Speedster22i HD, работающим на максимальной частоте 750 МГц и имеющим 1,7 млн LUT. Они выполнены по 22‑нм техпроцессу на фабрике Intel и предназначены для Hi-End-устройств. Диапазон применения микросхем семейства Speedster22i HD чрезвычайно широк — от изделий для телекоммуникации до медицины. И именно наличие этих микросхем в высокоскоростных устройствах обработки и передачи данных позволит разработчикам создавать самые современные изделия для различных применений, удовлетворяющие самым жестким требованиям по скоростям обработки информации. В следующих статьях цикла мы рассмотрим основную «изюминку» ПЛИС Speedster — аппаратные IP-ядра, цепи ввода/вывода, а также средства разработки и стартовые наборы. Автор выражает благодарность сотрудникам представительства Achronix Russia Святославу Лисину и Владимиру Викулину за предоставленную помощь, справочные материалы и демонстрацию работы ПЛИС Speedster22i HD1000 в составе отладочной платы. n

www.kite.ru


64

новости

беспроводные технологии

Полностью интегрированный приемопередатчик ISM-диапазона SX1238 от Semtech ния устройства и дальностью связи. Большинство устройств, предназначенных для функционирования на больших расстояниях и рассчитанных на длительное время работы от батарейки, такие как водные и газовые счетчики, используют мощность передатчика (выходную мощность) +27 дБм. Приемопередатчик SX1238 поддерживает гауссовскую частотную манипуляцию, ЧМ, минимальную манипуляцию с гауссовской фильтрацией и амплитудную манипуляцию и соответствует требованиям WMBus, IEEE 802.15.4g (SUN), WiSUN, Zigbee NAN, FCC 15.247, EN 300-220, а также другим глобальным радиочастотным стандартам. Основные особенности интегрированного приемопередатчика SX1238: • Максимальная выходная мощность: +27 дБм. • Уровень чувствительности: –124 дБм, при использовании гауссовской частотной манипуляции и скорости обмена информацией 1,2 кбит/с. • Частотный диапазон: 863–928 МГц. • Низкий уровень энергопотребления: потребление 100 нА в спящем режиме с сохранением содержимого всех регистров. • Скорости обмена данными: до 300 кбит/с. • Диапазон напряжения питания: от 1,8 до 3,7 В. • Ультрабыстрая перестройка частоты. • Поддержка WMBus, 802.15.4g (SUN), WiSUN и Zigbee NAN.

• Соответствует требованиям ETSI и FCC. Области применения SX1238: • Автоматизированное считывание показаний измерителей. • Сети беспроводных датчиков. • Системы автоматизации домов и зданий. • Беспроводные сигнализации и системы обеспечения безопасности. • Промышленный контроль и управление. www.icquest.ru

Реклама

Корпорация Semtech представила новую ИС SX1238 семейства приемопередатчиков дальнего радиуса действия для разработок интеллектуальных датчиков. ИС SX1238 — первый из доступных на текущий момент компонентов, в котором в одном корпусе полностью интегрированы приемопередатчик и модуль функциональной поддержки. Тем самым специалистам предоставляется оптимальное решение для создания таких интеллектуальных датчиков, как водо-, газо- и электросчетчики, действующие в сетях стандартов WMBus, 802.15.4g, WiSUN, и Zigbee NAN. Приемопередатчик SX1238 представляет собой дополнение технологии большой дальности связи LoRa компании Semtech, позволившей компании занять лидирующие позиции в организации связи систем «машина-к‑машине» (M2M) и Интернета вещей (IoT). Самым высоким уровнем выходной мощности, доступным в наиболее интегрированных приемопередатчиках, является уровень мощности +20 дБм или 100 мВт. В большинстве решений интеллектуальных измерителей производители применяют отдельную ИС функциональной поддержки для повышения выходной мощности и увеличения дальности и эффективности разработки. Выходная мощность +27 дБм обычно рассматривается как оптимальный компромисс между временем жизни элемента пита-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

Продолжение. Начало в № 4`2014

Валерий Зотов walerry@km.ru

Анализ результатов процесса отображения логического описания аппаратной части проектируемой системы на физические ресурсы кристалла Система автоматизированного проектирования Xilinx ISE Design Suite предоставляет разработчику различные инструменты для всестороннего анализа результатов, полученных в ходе выполнения фазы отображения логического описания аппаратной части разрабатываемой встраиваемой системы на физические ресурсы программируемой логики PL кристалла расширяемой процессорной платформы. Интегрированные средства этого пакета не только формируют соответствующий текстовый отчет о выполнении указанного процесса, но и позволяют отобразить полученные результаты в наглядной форме, а также провести дополнительный анализ статических временных характеристик проекта на рассматриваемой фазе. Наглядное представление результатов отображения логического описания аппаратной части проектируемой микропроцессорной системы на физические ресурсы программируемой логики используемого кристалла обеспечивает топологический редактор FPGA Editor. Этот инструмент предоставляет возможность визуальной оценки распределения элементов логического описания по соответствующим физическим ресурсам кристалла расширяемой процессорной платформы. Кроме того, при необходимости топологический редактор FPGA Editor позволяет в ручном режиме произвести коррекцию результатов, полученных при автоматическом выполнении процесса отображения логического описания аппаратной части разрабатываемой встраиваемой системы на физические ресурсы кристалла. Для получения детализированной информации о параметрах распространения сигналов аппаратной части проектируемой

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

компоненты

65

Проектирование встраиваемых микропроцессорных систем на базе расширяемых процессорных платформ семейства Zynq‑7000 AP SoC в САПР Xilinx ISE Design Suite микропроцессорной системы предназначены программные средства временного статического анализа Timing Analyzer и TRACE, которые функционируют в диалоговом режиме и режиме командной строки соответственно. Значения временных параметров, вычисляемые с помощью этих программных инструментов на рассматриваемой фазе процесса реализации, носят предварительный оценочный характер. На этой фазе доступна информация о задержках распространения сигналов в конфигурируемых логических блоках, но отсутствуют сведения о задержках, вносимых трассировочными ресурсами кристалла.

Изучение распределения в кристалле элементов логического описания аппаратной части разрабатываемой системы в топологическом редакторе FPGA Editor Для просмотра результатов процесса отображения логического описания аппаратной части проектируемой микропроцессорной системы на физические ресурсы кристалла в топологическом редакторе FPGA Editor нужно, прежде всего, во встроенной панели Processe Project Navigator развернуть рассматриваемую фазу этапа реализации, поместив курсор на знак «+» в строке Map и щелкнув левой кнопкой мыши. При этом встроенная панель процессов принимает вид, представленный на рис. 59. Затем необходимо расположить курсор на строке Manually Place & Route (FPGA Editor) и дважды щелкнуть левой кнопкой мыши. В результате выполненных действий на экране появляется основное окно топологического редактора FPGA Editor, в котором представлено распределение элементов логического описания аппаратной части разрабатываемой встраиваемой системы по физическим ресурсам кристалла расширяемой процессорной платформы. Вид этого окна показан на рис. 60.

В рабочей области основного окна топологического редактора FPGA Editor по умолчанию открываются три дочерних окна с заголовками Array, List и World. Окно Array содержит топологическое представление совокупности логических и специализированных аппаратных ресурсов, а также ресурсов ввода/вывода кристалла семейства Zynq‑7000 AP SoC. Расположение каждого архитектурного элемента в кристалле расширяемой процессорной платформы отображается в виде соответствующего условного графического образа (УГО). При этом УГО физических ресурсов кристалла, задействованных для реализации функций аппаратной части проектируемой микропроцессорной системы, отмечены заполнением синего цвета (при использовании настроек топологического редактора, установленных по умолчанию). Процессорный блок PS кристалла расширяемой вычислительной платформы отображается в окне Array в виде прямоугольника максимального размера (рис. 60). В окне List по умолчанию приведен список всех элементов логического описания аппаратной части разрабатываемой встраиваемой системы. Этот список представлен в форме таблицы с шестью колонками. Первая колонка содержит порядковые номера элементов, входящих в состав описания аппаратной части разрабатываемой встраиваемой системы. Во второй колонке с заголовком Name отображаются идентификаторы указанных элементов. Третья колонка, озаглавленная Site, предоставляет информацию о расположении соответствующих элементов описания в кристалле расширяемой процессорной платформы. В четвертой колонке с заголовком Type приведены сведения о типе физических ресурсов кристалла, используемых для реализации соответствующих элементов логического описания аппаратной части проектируемой микропроцессорной системы. Ячейки пятой колонки, озаглавленной #Pins, содержат информацию о количестве www.kite.ru


66

компоненты

ПЛИС

Рис. 60. Вид основного окна топологического редактора FPGA Editor

цепей, подключенных к элементам, идентификаторы которых перечислены во втором столбце рассматриваемой таблицы. Каждая ячейка шестой колонки с заголовком Hilited содержит поле выбора, которое позволяет

указать цвет заполнения условного графического образа соответствующего элемента в окне Array. Выпадающий список этого поля выбора включает допустимые цветовые варианты заливки УГО. По умолчанию цвет

заполнения условных графических образов элементов не определен. Следует обратить внимание на то, что окно List предоставляет возможность отображения и другой информации о проекте

Рис. 61. Поиск элементов описания аппаратной части проектируемой системы в видимой области окна Array

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

компоненты

67

Рис. 62. Поиск элементов описания аппаратной части проектируемой системы вне видимой области окна Array

аппаратной части разрабатываемой встраиваемой системы. В частности, в этом окне могут быть представлены списки ограничений, макросов с относительным размещением, используемых в составе аппаратной части проектируемой микропроцессорной системы. Для указания типа элементов проекта, отображаемых в окне List, предусмотрено поле выбора, расположенное в верхней части этого окна. Требуемая информация указывается в выпадающем списке этого поля выбора (рис. 60). В окне World представлено расположение области кристалла расширяемой процессорной платформы, которая отображается в текущий момент времени в видимой части окна Array. Для изменения положения отображаемой области следует расположить курсор на выделенный контур, нажать левую кнопку мыши и, не отпуская ее, переместить этот контур в нужную часть поля изображения кристалла. Для быстрого поиска и выделения в видимой части окна Array расположения условного графического образа необходимого элемента описания достаточно поместить курсор на строку таблицы, содержащую идентификатор этого элемента, и щелкнуть левой кнопкой мыши. При этом соответствующий условный графический образ, отображаемый в видимой части окна Array, будет представлен выделенным красным цветом, как демонстрирует рис. 61. Если требуемый элемент описания находится вне видимой области окна Array,

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

то для его поиска и отображения на экране нужно расположить курсор в соответствующую ячейку колонки Name и дважды щелкнуть левой кнопкой мыши. В результате выполненных действий условный графический образ этого элемента будет представлен в центре видимой части окна Array в детализированном масштабе изображения, как показано на рис. 62. Для того чтобы получить информацию о параметрах конфигурации какого-либо элемента архитектуры кристалла, задействованного для реализации функций аппаратной части проектируемой микропроцессорной системы, следует, прежде всего, выделить соответствующий условный графический образ в окне Array щелчком левой кнопкой мыши на его изображении или указать его в списке, представленном в окне List. После этого нужно нажать кнопку быстрого доступа , расположенную на оперативной панели управления основного окна топологического редактора FPGA Editor, или выполнить команду Properties of Selected Items из всплывающего меню Edit или контекстнозависимого всплывающего меню, открываемого щелчком правой кнопки мыши. В результате выполненных действий на экране рядом с выделенным условным графическим образом появляется диалоговая панель с заголовком Component Properties, как изображено на рис. 63. Открывшаяся диалоговая панель параметров выбранного элемента содержит три вкладки с заголовками General, Configuration

и Physical Constraints. Первоначально на экране отображается вкладка General, вид которой приведен на рис. 63. На этой вкладке представлены основные параметры выделенного элемента описания аппаратной части разрабатываемой встраиваемой системы. В поле редактирования Name указывается идентификатор выбранного элемента описания с учетом его иерархической структуры. Поле Type содержит информацию о типе физических ресурсов кристалла, используемых для реализации выделенного элемента. В поле редактирования Location приводятся сведения о координатах соответствующих физических ресурсов в топологической структуре кристалла расширяемой процессорной платформы. Таблица, расположенная в нижней части рассматриваемой вкладки диалоговой панели параметров Component Properties, предоставляет информацию обо всех элементах описания аппаратной части проектируемой микропроцессорной системы, конфигурируемых на основе указанных физических ресурсов программируемой логики PL. Вкладка Configuration содержит сведения о функциях аппаратной части разрабатываемой встраиваемой системы, конфигурируемых на базе выделенного архитектурного компонента кристалла. Содержание этой вкладки зависит от типа выбранного архитектурного элемента. На рис. 64 приведен вид вкладки Configuration для секций SLICEL и SLICEM конфигурируемого логического блока. www.kite.ru


68

компоненты

ПЛИС

Рис. 63. Отображение основных параметров выделенного элемента

Параметр Config, представленный на этой вкладке, описывает конфигурирование выбранной секции в виде соответствующего выражения булевой алгебры. Вкладка Physical Constraints, вид которой демонстрирует рис. 65, предоставляет возможность установки физических ограничений для выбранного элемента. Параметр Lock Placement позволяет зафиксировать текущее размещение выбранного элемента в кристалле. По умолчанию индикатор состояния этого параметра находится в сброшенном положении, при котором фиксация текущего размещения элемента не производится. С помощью поля выбора значения параметра Requirement указывается степень обязательности выполнения установленных физических ограничений. Выпадающий список возможных значений этого параметра включает два варианта — HARD и SOFT. Вариант HARD предписывает неукоснительное соблюдение заданных физических ограничений. При выборе варианта SOFT установленные ограничения носят рекомендательный характер. Значение параметра Effort устанавливает приоритет для средств автоматического размещения проекта в кристалле расширяемой процессорной платформы при разрешении конфликтов ограничений. По умолчанию для этого параметра предлагается нулевое значение. Параметр Location Range предоставляет возможность определения конкретной об-

Рис. 64. Вид вкладки Configuration диалоговой панели Component Properties

Рис. 65. Вид вкладки Physical Constraints диалоговой панели параметров элемента

ласти топологической структуры кристалла для средств автоматического размещения. Необходимая информация о допустимой

области указывается с помощью клавиатуры после активизации поля редактирования этого параметра.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

компоненты

69

Рис. 66. Конфигурирование функций аппаратной части проектируемой системы на базе секции SLICEL

С помощью параметра Block Paths можно установить временные ограничения для совокупности всех путей распространения сигналов, проходящих через выбранный компонент топологической структуры кристалла. По умолчанию индикатор состояния этого параметра находится в выключенном положении, блокирующем установку указанных временных ограничений. Значение параметра Tsid определяет ограничение периода или частоты, устанавливаемое для заданной временной спецификации. Требуемое значение вводится с помощью клавиатуры после активизации поля редактирования данного параметра. Более наглядную информацию о реализации выбранных элементов описания аппаратной части проектируемой микропроцессорной системы на базе соответствующих физических ресурсов программируемой логики кристалла дает графическое структурное представление этих ресурсов. Для его отображения необходимо расположить курсор на изображении выбранного условного графического образа и дважды щелкнуть левой кнопкой мыши. При этом в рабочей области основного окна топологического редактора FPGA Editor открывается окно с заголовком Block-View, содержимое которого зависит от типа выбранного архитектурного элемента кристалла. Графическое представление детализированных результатов процесса отображения логического описания аппаратной части разрабатываемой встраиваемой системы

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

на различные физические ресурсы кристалла рассматривается далее на примере системы сбора и обработки данных. Для реализации элементов описания аппаратной части этой системы, кроме процессорного блока PS, задействованы следующие типы физических ресурсов кристалла расширяемой вычислительной платформы: • секции конфигурируемых логических блоков SLICEL и SLICEM; • блоки ввода/вывода программируемой логики PL; • мультиплексируемые входы/выходы процессорного блока; • глобальный буферный элемент, обеспечивающий трансляцию сигнала синхронизации аппаратной части системы. Графическое представление результатов конфигурирования функций аппаратной части проектируемой системы на базе секции SLICEL показано на рис. 66. В окне Block-View топологического редактора FPGA Editor представлена структурная схема секции SLICEL конфигурируемого логического блока, на которой выделены условные графические образы компонентов этой секции и их взаимосвязи, задействованные для реализации выбранного элемента описания аппаратной части разрабатываемой встраиваемой системы. Следует обратить внимание на то, что условные графические образы триггеров этой секции, используемых для реализации указанного элемента, сопровождаются информацией о параметрах их конфигурирования.

Подробные результаты отображения элементов логического описания аппаратной части проектируемой системы на физические ресурсы секции SLICEM конфигурируемого логического блока в наглядной форме приведены на рис. 67. Структурная схема секции SLICEM, изображенная в окне Block-View топологического редактора FPGA Editor, кроме информации о параметрах функционирования используемых триггеров этой секции, содержит сведения о вариантах конфигурирования LUT, которые задействованы для реализации функций выбранного элемента описания. Таблицы преобразования указанных секций могут применяться не только как функциональные генераторы, но и конфигурироваться в виде элементов распределенной памяти или сдвиговых регистров. Информация о применяемом варианте конфигурирования каждой задействованной LUT предоставляется с помощью индикаторов состояния, расположенных на поле ее условного графического образа. Графическое представление параметров конфигурирования блоков ввода/вывода программируемой логики PL, применяемых в составе аппаратной части разрабатываемой встраиваемой системы, демонстрирует рис. 68. На структурной схеме блока ввода/вывода, отображаемой в окне Block-View топологического редактора FPGA Editor, выделены условные графические образы его компонентов и их взаимосвязи, которые www.kite.ru


70

компоненты

ПЛИС

Рис. 67. Результаты отображения элемента логического описания на физические ресурсы секции SLICEM

Рис. 68. Конфигурирование блоков ввода/вывода аппаратной части проектируемой системы

соответствуют требуемым направлениям передачи сигнала через выбранный вывод кристалла расширяемой процессорной платформы. Кроме того, над структурной схемой приведены сведения о параметрах

конфигурирования выбранного блока ввода/вывода, которые определяют режимы его функционирования в составе аппаратной части проектируемой микропроцессорной системы. Эти сведения представле-

ны в виде соответствующих индикаторов состояния. Наглядное представление информации о варианте конфигурирования выбранного мультиплексируемого входа/выхода процес-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

компоненты

71

Рис. 69. Конфигурирование мультиплексируемого входа/выхода процессорного блока

сорного блока, задействованного в составе аппаратной части разрабатываемой встраиваемой системы, показано на рис. 69. Приведенная структурная схема информирует, в частности, о том, будет ли подключен внутренний подтягивающий резистор к соответствующему выводу кристалла расширяемой процессорной платформы. При изучении результатов отображения логического описания аппаратной части проектируемой микропроцессорной системы на физические ресурсы кристалла, представленных в топологическом редакторе FPGA Editor, не рекомендуется без необходимости делать их корректировку в ручном режиме. При невыполнении установленных физических ограничений целесообразно изменить параметры этого процесса и повторить рассматриваемую фазу этапа реализации.

Отчет о выполнении процесса отображения логического описания аппаратной части проектируемой системы на физические ресурсы кристалла Быстрый доступ к отчету о результатах отображения логического описания аппаратной части разрабатываемой встраиваемой системы на физические ресурсы программируемой логики используемого кристалла осуществляется щелчком левой кнопки мыши на строке Map Report, которая расположена в разделе Detailed Reports вкладки Design Summary рабочей области

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

основного окна Project Navigator (рис. 70). При этом на указанной вкладке появляется дополнительная встроенная панель с заголовком Map Report, а также открывается новое рабочее окно интегрированного HDLредактора управляющей оболочки средств проектирования Xilinx ISE Design Suite, в котором отображается содержание сформированного отчета. В открывшейся встроенной панели приведена интерактивная структура отчета о выполнении и результатах рассматриваемой фазы (MAP) этапа реализации (Implementation) аппаратной части проектируемой микропроцессорной системы в кристалле расширяемой вычислительной платформы, вид которой представлен на рис. 70. Для визуализации требуемого раздела отчета в видимой части рабочего окна встроенного HDL-редактора достаточно расположить курсор во встроенной панели Map Report на строке с названием этого раздела и щелкнуть левой кнопкой мыши. В состав генерируемого отчета входят два раздела, содержащих общие сведения о ходе и результатах выполнения процедуры отображения логического описания аппаратной части проектируемой встраиваемой системы на физические ресурсы программируемой логики применяемого кристалла, и тринадцать секций, включающих детализированную информацию. В первом разделе с заголовком Design Information сосредоточены данные об исходных параметрах проекта аппаратной части разрабатываемой микропроцессорной системы и процесса отображе-

ния ее логического описания на физические ресурсы программируемой логики кристалла семейства Zynq‑7000 AP SoC: Release 14.7 Map P.20131013 (nt64) Xilinx Mapping Report File for Design ‘PS_ARM_top’ -----------------Design Information -----------------Command Line : map -intstyle ise -p xc7z020-clg484-1 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o PS_ARM_top_map.ncd PS_ARM_top.ngd PS_ARM_top.pcf Target Device : xc7z020 Target Package : clg484 Target Speed : -1 Mapper Version : zynq -- $Revision: 1.55 $ Mapped Date : Mon Jun 30 18:47:33 2014

Во второй части, озаглавленной Design Summary, представлена итоговая информация о результатах процесса отображения логического описания проекта САПР Xilinx ISE Design Suite на физические ресурсы кристалла расширяемой вычислительной платформы и статистика используемых ресурсов программируемой логики для реализации аппаратной части разрабатываемой микропроцессорной системы. В начале этого раздела приведены сведения о количестве ошибок и предупреждений, обнаруженных при выполнении рассматриваемой фазы процесса реализации. Далее отображается информация об объеме ресурсов программируемой логики каждого типа, задействованных в составе конфигурируемой аппаратной части разрабатываемой встраиваемой системы. Эта информация представлена как в абсолютном виде, так и в процентном отношении к суммарному объему ресурсов применяеwww.kite.ru


72

компоненты

ПЛИС

Рис. 70. Открытие отчета о результатах отображения логического описания аппаратной части проектируемой системы на физические ресурсы кристалла

мого кристалла. Завершают данный раздел отчета сведения о количестве используемой оперативной памяти и времени выполнения процесса отображения логического описания проекта на физические ресурсы кристалла расширяемой вычислительной платформы: Design Summary -------------Number of errors: 0 Number of warnings: 0 Slice Logic Utilization: Number of Slice Registers: 138 out of 106,400 1% Number used as Flip Flops: 138 Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 Number of Slice LUTs: 197 out of 53,200 1% Number used as logic: 189 out of 53,200 1% Number using O6 output only: 166 Number using O5 output only: 0 Number using O5 and O6: 23 Number used as ROM: 0 Number used as Memory: 8 out of 17,400 1% Number used as Dual Port RAM: 0 Number used as Single Port RAM: 0 Number used as Shift Register: 8 Number using O6 output only: 8 Number using O5 output only: 0 Number using O5 and O6: 0 Number used exclusively as route-thrus: 0 -Slice Logic Distribution: Number of occupied Slices: 83 out of 13,300 1% Number of LUT Flip Flop pairs used: 221 Number with an unused Flip Flop: 89 out of 221 40% Number with an unused LUT: 24 out of 221 10% Number of fully used LUT-FF pairs: 108 out of 221 48% Number of unique control sets: 14 Number of slice register sites lost to control set restrictions: 46 out of 106,400 1% - A LUT Flip Flop pair for this architecture represents one LUT paired with one Flip Flop within a slice. A control set is a unique combination of clock, reset, set, and enable signals for a registered element. The Slice Logic Distribution report is not meaningful if the design is over-mapped for a non-slice resource or if Placement fails. OVERMAPPING of BRAM resources should be ignored if the design

is over-mapped for a non-BRAM resource or if placement fails. -IO Utilization: Number of bonded IOBs: 8 out of 200 4% Number of LOCed IOBs: 8 out of 8 100% Number of bonded IOPAD: 130 out of 130 100% -Specific Feature Utilization: Number of RAMB36E1/FIFO36E1s: 0 out of 140 0% Number of RAMB18E1/FIFO18E1s: 0 out of 280 0% Number of BUFG/BUFGCTRLs: 1 out of 32 3% Number used as BUFGs: 1 Number used as BUFGCTRLs: 0 Number of IDELAYE2/IDELAYE2_FINEDELAYs: 0 out of 200 0% Number of ILOGICE2/ILOGICE3/ISERDESE2s: 0 out of 200 0% Number of ODELAYE2/ODELAYE2_FINEDELAYs: 0 Number of OLOGICE2/OLOGICE3/OSERDESE2s: 0 out of 200 0% Number of PHASER_IN/PHASER_IN_PHYs: 0 out of 16 0% Number of PHASER_OUT/PHASER_OUT_PHYs: 0 out of 16 0% Number of BSCANs: 0 out of 4 0% Number of BUFHCEs: 0 out of 72 0% Number of BUFRs: 0 out of 16 0% Number of CAPTUREs: 0 out of 1 0% Number of DNA_PORTs: 0 out of 1 0% Number of DSP48E1s: 0 out of 220 0% Number of EFUSE_USRs: 0 out of 1 0% Number of FRAME_ECCs: 0 out of 1 0% Number of ICAPs: 0 out of 2 0% Number of IDELAYCTRLs: 0 out of 4 0% Number of IN_FIFOs: 0 out of 16 0% Number of MMCME2_ADVs: 0 out of 4 0% Number of OUT_FIFOs: 0 out of 16 0% Number of PHASER_REFs: 0 out of 4 0% Number of PHY_CONTROLs: 0 out of 4 0% Number of PLLE2_ADVs: 0 out of 4 0% Number of PS7s: 1 out of 1 100% Number of STARTUPs: 0 out of 1 0% Number of XADCs: 0 out of 1 0% -Average Fanout of Non-Clock Nets: 2.20 -Peak Memory Usage: 850 MB Total REAL time to MAP completion: 24 secs Total CPU time to MAP completion: 22 secs

Далее приведено оглавление секций, содержащих детализированную информацию о ходе выполнения и результатах процесса отображения логического описания аппа-

ратной части проектируемой микропроцессорной системы на физические ресурсы используемого кристалла. В секциях с заголовками Errors и Warnings представлены списки обнаруженных ошибок и предупреждений соответственно. В разрабатываемом проекте простейшей системы сбора и обработки данных при выполнении рассматриваемой фазы процесса реализации ошибки и предупреждения отсутствуют, поэтому указанные разделы включают только соответствующие заголовки: Table of Contents ----------------Section 1 - Errors Section 2 - Warnings Section 3 - Informational Section 4 - Removed Logic Summary Section 5 - Removed Logic Section 6 - IOB Properties Section 7 - RPMs Section 8 - Guide Report Section 9 - Area Group and Partition Summary Section 10 - Timing Report Section 11 - Configuration String Information Section 12 - Control Set Information Section 13 - Utilization by Hierarchy -Section 1 - Errors ------------------Section 2 - Warnings --------------------

Раздел отчета с заголовком Informational содержит сообщения, информирующие о возможных несоответствиях в логическом описании аппаратной части проектируемой микропроцессорной системы, обнаруженных в процессе его отображения на физиче-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


ПЛИС

ские ресурсы программируемой логики используемого кристалла, а также об установленных значениях отдельных параметров инициализации: Section 3 - Informational ------------------------INFO:LIT:243 - Logical network N138 has no load. INFO:LIT:395 - The above info message is repeated 1421 more times for the following (max. 5 shown): N139, N140, N141, N142, N143 To see the details of these info messages, please use the -detail switch. INFO:LIT:244 - All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs. INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) INFO:Pack:1720 - Initializing voltage to 0.950 Volts. (default - Range: 0.950 to 1.050 Volts) INFO:Timing:3386 - Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report (.mrp). INFO:Pack:1650 - Map created a placed design.

Секция Removed Logic Summary содержит общую информацию о количестве элементов проекта аппаратной части разрабатываемой встраиваемой системы, которые были удалены в процессе оптимизации ее логического описания: Section 4 - Removed Logic Summary --------------------------------169 block(s) removed 32 block(s) optimized away 1453 signal(s) removed

Более подробные сведения об элементах, исключенных в процессе выполняемой оптимизации проекта, представлены в секции Removed Logic. В начале этой секции приведена информация, разъясняющая причины удаления отдельных элементов, представленных в списке соединений аппаратной части проектируемой микропроцессорной системы. В частности, в процессе оптимизации проекта, осуществляемой в ходе отображения логического описания аппаратной части разрабатываемой встраиваемой системы на физические ресурсы используемого кристалла, исключаются внутренние неподключенные цепи, в которых отсутствует источник сигнала или нагрузка, и связанные с ними логические элементы: Section 5 - Removed Logic ------------------------The trimmed logic report below shows the logic removed from your design due to sourceless or loadless signals, and VCC or ground connections. If the removal of a signal or symbol results in the subsequent removal of an additional signal or symbol, the message explaining that second removal will be indented. This indentation will be repeated as a chain of related logic is removed. -To quickly locate the original cause for the removal of a chain of logic, look above the place where that logic is listed in the trimming report, then locate the lines that are least indented (begin at the leftmost edge).

Затем перечисляются неподключенные цепи и блоки, которые были удалены из-за отсутствия источника сигнала. Если эти элементы предполагается использовать при последующей доработке проекта, то следует установить индикатор состояния параметра Trim Unconnected Signals в положение «выключено», после чего повторно выполнить рассматриваемую фазу процесса реализации: The signal “PS_ARM_i/axi_interconnect_1_S_WID<11>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_WID<10>” is sourceless and has been removed. … The signal “PS_ARM_i/axi_interconnect_1_S_WID<1>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_WID<0>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_ARBURST<1>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_ sasd_0/gen_crossbar.addr_arbiter_inst/Mmux_s_amesg581” (ROM) removed.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

компоненты

73

The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/s_amesg<61>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i_61” (FF) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i<61>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_ARBURST<0>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/Mmux_s_amesg571” (ROM) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/s_amesg<60>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i_60” (FF) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i<60>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_ARLOCK<1>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_ARLOCK<0>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/Mmux_s_amesg511” (ROM) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/s_amesg<55>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i_55” (FF) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i<55>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_AWBURST<1>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_AWBURST<0>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_AWLOCK<1>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_AWLOCK<0>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_ARPROT<2>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/Mmux_s_amesg551” (ROM) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/s_amesg<59>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i_59” (FF) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_ sasd_0/gen_crossbar.addr_arbiter_inst/m_amesg_i<59>” is sourceless and has been removed. The signal “PS_ARM_i/axi_interconnect_1_S_ARPROT<1>” is sourceless and has been removed. Sourceless block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/Mmux_s_amesg541” (ROM) removed. The signal “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/s_amesg<58>” is sourceless and has been removed.

Далее приводится информация о неиспользуемых блоках, входящих в состав аппаратной части проектируемой микропроцессорной системы: Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/mi_converter_bank/gen_conv_slot[0].clock_conv_inst/ interconnect_aresetn_resync_0” (FF) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/mi_converter_bank/gen_conv_slot[0].clock_conv_ inst/m_async_conv_reset” (FF) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/mi_converter_bank/gen_conv_slot[0].clock_conv_ inst/s_async_conv_reset” (FF) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/mi_protocol_conv_bank/gen_protocol_slot[0].gen_ prot_conv.conv_inst/gen_axilite.gen_axilite_conv.axilite_conv_inst/S_AXI_ARREADY1” (ROM) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/mi_protocol_conv_bank/gen_protocol_slot[0].gen_ prot_conv.conv_inst/gen_axilite.gen_axilite_conv.axilite_conv_inst/S_AXI_AWREADY1” (ROM) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/mi_protocol_conv_bank/gen_protocol_slot[0].gen_ prot_conv.conv_inst/gen_axilite.gen_axilite_conv.axilite_conv_inst/_n0121_inv_SW0” (ROM) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/si_converter_bank/gen_conv_slot[0].clock_conv_ inst/m_async_conv_reset” (FF) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/si_converter_bank/gen_conv_slot[0].clock_conv_inst/s_ async_conv_reset” (FF) removed. Unused block “PS_ARM_i/axi_interconnect_1/axi_interconnect_1/si_converter_bank/gen_conv_slot[0].clock_conv_inst/s_ axi_reset_out_n_i” (FF) removed.

www.kite.ru


компоненты

ПЛИС

Заключительная часть секции Removed Logic предоставляет подробные сведения об оптимизированных блоках, используемых в составе аппаратной части разрабатываемой встраиваемой системы: Optimized Block(s): TYPE BLOCK GND PS_ARM_i/XST_GND GND PS_ARM_i/axi_gpio_0/XST_GND VCC PS_ARM_i/axi_gpio_0/XST_VCC GND PS_ARM_i/axi_interconnect_1/XST_GND VCC PS_ARM_i/axi_interconnect_1/XST_VCC LUT4 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/Mmux_s_amesg501 optimized to 0 FDE PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. addr_arbiter_inst/m_amesg_i_54 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<11>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<12>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<13>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<14>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<15>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<16>1

optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<17>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<18>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<19>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<20>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<21>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<22>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<23>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<24>1 optimized to 0 LUT2 PS_ARM_i/axi_interconnect_1/axi_interconnect_1/crossbar_samd/gen_sasd.crossbar_sasd_0/gen_crossbar. mi_rmesg_mux_inst/O<25>1 optimized to 0 GND PS_ARM_i/processing_system7_0/XST_GND To enable printing of redundant blocks removed and signals merged, set the detailed map report option and rerun map.

Продолжение следует

Реклама

74

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


компоненты

76

микроконтроллеры

Оптимизация энергопотребления устройств на базе микроконтроллеров EFM32 Wonder Gecko с ядром Cortex-M4F

Ксения Кондрашова xk@efo.ru

Введение Возможности современных микроконтроллеров нередко позволяют перенести часть вычислительных функций распределенной системы на узлы, где осуществляется сбор первичных данных. Такой подход имеет ряд преимуществ, например повышение устойчивости, характерное для децентрализованных систем, разгрузка каналов связи за счет предварительной обработки и сжатия данных. Обработка данных «на месте» оправдана при регулярном опросе аналоговых датчиков, в задачах, где входные данные имеют потоковый характер, особенно при приеме аудио или изображений. В таких приложениях накладные расходы на передачу неинформативных массивов данных на узел-обработчик исключаются в пользу передачи, сохранения или вывода лишь значимых результатов преобразования.

Рис. 1. Энергопоребление кристалла

Статья посвящена способам снижения энергопотребления устройств сбора и обработки данных на базе микроконтроллеров с ядром Cortex-M4F. Приводятся основные отличительные характеристики ядра, их роль при организации эффективных алгоритмов математической обработки данных. В качестве примера рассматривается решение от компании Silicon Labs — микроконтроллеры EFM32 Wonder Gecko, обладающие высокой производительностью и сбалансированным комплексом технологий энергосбережения.

В то же время для организации локальной обработки данных нужно наращивать функциональность и производительность устройства, а это не может быть сделано без побочных эффектов. Для приборов с батарейным питанием самым весомым отрицательным эффектом является повышение энергопотребления. Наиболее популярной платформой для разработки устройств сбора и обработки данных остаются микроконтроллеры на базе ядра ARM Cortex-M3, однако в линейке Cortex-M существует гораздо более эффективное с точки зрения математического аппарата процессорное ядро Cortex-M4, ассортимент микроконтроллеров с которым постепенно расширяется. В статье показано, какую выгоду по производительности и потребляемой мощности можно получить при использовании микроконтроллеров с ядром Cortex-M4 и его модификацией Cortex-M4F.

Энергопотребление микроконтроллера При реализации устройства с батарейным питанием оптимизация энергопотребления кристалла определяется тремя параметрами (рис. 1): • расход энергии в активном режиме работы; • расход энергии в режиме ожидания; • длительность активного режима работы. Если в устройстве сбора данных обработка входных данных проводится с использованием математических алгоритмов, то наиболее затратной по энергопотреблению частью цикла работы устройства становится активный режим. На рис. 1 энергопотреблению во время обработки данных соответствует площадь прямоугольника, ограниченного отрезком времени работы в активном режиме и значением тока потребления. Уменьшение этой площади возможно либо за счет сокращения времени работы в активном режиме, либо путем снижения потребляемого при этом тока. Уменьшение величины потребляемого тока без потери производительности — задача, над которой непрерывно трудятся производители микроконтроллеров. Разработчику конечного устройства предоставляется возможность наращивать скорость вычислений. Чтобы это не влекло пропорционального увеличения энергопотребления, используются оптимизированные математические алгоритмы, многие из которых могут эффективно исполняться только с аппаратной поддержкой со стороны процессорного ядра. В таком ключе и рассмотрим особенности ядра Cortex-M4F, сравнивая его с популярным ядром Cortex-M3.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


микроконтроллеры

компоненты

77

Рис. 2. Система команд процессорных ядер Cortex-M

Ядро Cortex-M4F Система команд Cortex-M4 состоит из набора команд ядра Cortex-M3, расширенного DSP-инструкциями, а система команд Cortex-M4F дополнена командами блока FPU (рис. 2). Дополнительные команды предназначены для увеличения производительности вычислений и могут быть разделены на четыре основные группы.

Умножение с накоплением (Single-cycle Multiply Accumulate, MAC) Умножение с накоплением описывается формулой:

S = S+AB. Соответствующие команды описывают умножение двух регистров с суммированием результата в аккумулятор и смежные операции: умножение с вычитанием результата из аккумулятора, умножение без использования аккумулятора и т. д. Операции предусмотрены для 16‑ и 32‑разрядных переменных и играют важную роль во многих типовых алгоритмах

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

цифровой обработки сигналов. Например, КИХ-фильтр описывается уравнением:

то есть представляет собой лишь последовательность операций умножения с накоплением, а значит, скорость работы фильтра напрямую определяется скоростью выполнения умножения с накоплением. Все MAC-инструкции в микроконтроллерах с ядром Cortex-M4(F) выполняются за один машинный цикл.

Параллельная обработка данных (Single Instruction Multiple Data, SIMD) Команды группы SIMD позволяют оптимизировать обработку данных за счет параллелизма вычислений. Независимые переменные попарно помещаются в одни и те же регистры большей размерности для одновременного выполнения арифметических операций. Приведем пример: SIMD-команда SADD16 R0, R1, R2 подразумевает, что в регистрах R1 и R2 записано по две независимые переменные (разряды [15:0] содержат

первую пару переменных, разряды [31:16] — вторую пару). Переменные из младших разрядов будут сложены с сохранением результата в младших разрядах регистра R0, одновременно результат сложения переменных из старших разрядов будет сохранен в старших разрядах регистра R0 (рис. 3). Операция сложения SIMD, как и стандартное сложение двух 32‑разрядных переменных, исполняется за один машинный цикл, но за этот цикл выполняется два сложения вместо одного. Поскольку в Cortex-M4(F) регистры общего назначения имеют разрядность 32 бит, в каждый из них можно поме-

Рис. 3. Сложение с использованием SIMD-команды

www.kite.ru


компоненты

78

стить по две 16‑разрядные переменные или до четырех 8‑разрядных. Ядро Cortex-M4(F) поддерживает множество SIMD-команд, все они исполняются за один машинный цикл. Несколько команд SIMD включают умножение с накоплением, что еще больше увеличивает производительность вычислений. Например, выражение:

S64 = S64+A16B16+C16D16 может быть выполнено за один машинный цикл.

Команды операций с насыщением (Saturating instructions) Если результат какой-либо операции имеет большую разрядность, чем регистр, его хранящий, то говорят о переполнении реги-

микроконтроллеры

стра. При использовании стандартных команд регистр «перезагружается» с нуля после достижения переменной максимума, допустимого разрядностью, и, чтобы избежать значительной ошибки, требуется предусматривать проверки флага переполнения в коде программы. При использовании команд с насыщением в аналогичной ситуации результат фиксируется на максимуме, допустимом разрядностью (рис. 4). Применение операций с насыщением существенно ускоряет и упрощает вычисления за счет отсутствия проверок на переполнение.

Вычисления с плавающей точкой (Floating Point Unit, FPU) Команды вычислений с плавающей точкой — это команды блока FPU, позволяющие выполнять операции над вещественными числами с максимальной производительностью. Для представления вещественных чисел используется два формата — с фиксированной и плавающей точкой. В первом случае количество разрядов для записи целой и дробной частей зафиксировано и вычисления сводятся к операциям над целыми числами. Число с плавающей точкой представляется как совокупность знакового бита, нескольких разрядов для порядка и мантиссы:

±m2–e,

Рис. 4. Операции с переполнением и с насыщением

где m — мантисса; e — порядок. Использование формата с плавающей точкой предпочтительно при обработке сигналов за счет гораздо более широкого диапазона значений, его применение также избавляет разработчика от необходимости следить за разрядностью. Формат чисел с плавающей

точкой одинарной точности описывается стандартом IEEE 754 (рис. 5), это представление используется в микроконтроллерах с ядром Cortex-M4F. Диапазон допустимых значений составляет (10–38–1038) при приблизительном пересчете в десятичные числа. В таблице указана длительность исполнения нескольких операций с вещественными числами, выполненных с использованием блока FPU. Выигрыш по производительности, получаемый при использовании описанных выше расширений системы команд, может быть продемонстрирован через сравнение длительности выполнения типовых алгоритмов на микроконтроллерах с ядрами Cortex-M4F и Cortex-M3. Рассмотрим быстрое преобразование Фурье, КИХ-фильтр и матричные вычисления для различных типов данных. На графиках (рис. 6) f32 — формат числа с плавающей точкой одинарной точности, q15 и q31 — формат числа с фиксированной точкой с 15‑ и 31‑разрядной мантиссой соответственно. Быстрое преобразование Фурье (рис. 6а) для данных в формате с фиксированной точТаблица. Длительность исполнения команд FPU в машинных циклах Команда

Описание

VADD.F32 сложение VSUB.F32 вычитание VMUL.F32 умножение VFMA.F32 умножение с последующим сложением VDIV.F32 деление VSQRT.F32 извлечение квадратного корня загрузка вещественной константы VMOV в регистр VCMP.F32 сравнение двух вещественных чисел преобразование между типами данных VCVT.F32 (целые, с фиксированной и плавающей точкой)

Длительность в машинных циклах 1 1 1 3 14 14 1 1 1

Рис. 5. Формат числа с плавающей точкой одинарной точности

а

б

в

Рис. 6. Выполнение алгоритма: а) быстрого преобразования Фурье; б) КИХ-фильтра; в) матричного вычисления

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


микроконтроллеры

компоненты

79

Рис. 7. Состав микроконтроллеров серии EFM32 Wonder Gecko

кой выполняется быстрее на Cortex-M4F благодаря использованию оптимизированных инструкций SIMD. Самое заметное повышение производительности видно, конечно, для формата f32, то есть при аппаратной реализации вычислений с плавающей точкой на ядре Cortex-M4F вместо программной на Cortex-M3. Сокращение длительности обработки данных влечет снижение энергопотребления. Так, при выполнении алгоритма БПФ для 512 выборок дважды за секунду с заменой ядра Cortex-M3 на Cortex-M4F среднее значение энергопотребления понижается с 550 до 170 мкА, то есть более чем в три раза. Скорость КИХ-фильтрации (рис. 6б) на ядре Cortex-M4F возрастает для всех рассмотренных типов данных благодаря MACинструкциям. При использовании формата с плавающей точкой алгоритм выполняется в 16,6 раза быстрее по сравнению с реализацией на ядре Cortex-M3. При обработке данных достаточно часто применяются матричные вычисления, особенно при сопоставлении показателей разных датчиков. Например, комбинация показаний GPS, акселерометра и гироскопа используется для точного определения местоположения. Распространенный способ сопоставления показаний — фильтр Калмана, реализация которого основана на умножении матриц. На рис. 6в показано сравнение скорости выполнения десяти умножений матриц размерности 1010 с использованием различных форматов данных. Во всех случа-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

ях заметно увеличение производительности при использовании расширенной системы команд Cortex-M4F. Все приведенные тесты выполнялись компанией Silicon Labs для программ, скомпилированных в среде IAR EWARM 6.50 с включенной функцией оптимизации кода по скорости. Быстрое преобразование Фурье вычислялось для выборок объемом 1024, КИХ-фильтр — для 320 выборок и 30 коэффициентов. Производительность Cortex-M4F оценивалась на примере микроконтроллеров серии EFM32 Wonder Gecko — одного из наиболее удачных решений среди универсальных микроконтроллеров на базе Cortex-M4F для приложений с батарейным питанием. Расскажем об этой серии более подробно.

Микроконтроллеры EFM32 Wonder Gecko Серия EFM32 Wonder Gecko является развитием популярной линейки малопотребляющих контроллеров EFM32 Gecko, в которую также входят кристаллы на базе ядер Cortex-M0 и Cortex-M3. Подобно им, Wonder Gecko обладают хорошими показателями по потребляемой мощности процессорного ядра и отдельных периферийных модулей. Энергопотребление процессорного ядра у этих кристаллов составляет 225 мкА/МГц, максимальная тактовая частота — 48 МГц. Для реализации систем сбора данных предусмотрены механизмы для дополнительного снижения энергопотребления.

EFM32 Wonder Gecko поддерживают четыре режима сна, на рис. 7 для каждого из них цветом отмечены доступные периферийные устройства. Функциональность и энергопотребление кристалла в каждом режиме могут быть дополнительно настроены, для этого служит гибкая система тактирования. В кристаллах EFM32 Wonder Gecko разработчику доступно четыре встроенных RC-генератора, два кварцевых генератора и несколько независимых делителей частоты. Большое количество источников и типов тактовых сигналов, а также возможность автоматического и программного отключения тактирования отдельных периферийных блоков позволяют настроить кристалл так, чтобы энергия потреблялась только работающими блоками. Разработчик может не только отключить питание периферийных блоков, но и настроить неиспользуемые линии ввода/вывода в специальный режим с отключением входного триггера Шмитта и выходного драйвера для каждой «ножки». При необходимости можно отключить неиспользующиеся блоки ОЗУ. Для сбора данных на микроконтроллерах EFM32 Wonder Gecko может применяться 12‑разрядный АЦП с частотой дискретизации до 1 млн отсчетов в секунду при потреблении всего 350 мкА. Важно отметить, что преобразование может быть инициировано без участия процессорного ядра средствами Peripheral Reflex System (PRS). PRS — инструмент для организации сценариев прямого взаимодействия периферийных устройств (например, старт АЦП по срабатыванию тайwww.kite.ru


80

компоненты

Рис. 8. Принцип работы блока LESENSE

Реклама

мера). Ядро может находиться в режиме сна пока идет сбор данных, переходя в активный режим только когда данные уже доступны в памяти и готовы к обработке. На автономную работу и малую потребляемую мощность ориентировано также множество других цифровых и аналоговых периферийных модулей, среди которых ЦАП, таймеры/счетчики, аналоговые компараторы, счетчики импульсов, операционные усилители, последовательные и параллельные интерфейсы. Отдельно можно отметить блок Low Energy Sensor Interface (LESENSE). LESENSE — это интерфейс для работы с резистивными, индуктивными и емкостными датчиками, одновременно может использоваться до 16 датчиков. Он позволяет организовать циклическое включение датчиков, обеспечивая их активность только во время измерений. Блок может использоваться в режимах сна вплоть до EM2, а его собственное энергопотребление не превышает 2 мкА. Конечный автомат блока можно настроить так, чтобы ядро «просыпалось» только при детектировании заданной последовательности событий (рис. 8), например при скольжении пальца по сенсорной панели.

микроконтроллеры

Рис. 9. Подключение EFM32WG-STK3800 для анализа потребления сторонней платы

Кроме уменьшения роли ядра при сборе данных средствами DMA, PRS и автономных периферийных блоков, производитель рекомендует использовать другие приемы для оптимизации потребления в активном режиме: • выполнение инструкций из ОЗУ вместо flash-памяти (режимы EM3 и выше); • применение режимов энергосбережения с выходом по прерыванию вместо программной реализации ожидания события (циклов типа while); • настройка потребления аналоговых блоков через токи смещения в счет потери точности и др.

Поддержка разработок производителем Для всех микроконтроллеров EFM32 Gecko программная поддержка разработок осуществляется через платформу Simplicity Studio. Платформа распространяется бесплатно и содержит IDE, набор инструментов для конфигурирования кристалла и контроля его энергопотребления, документацию, а также набор программных библиотек и примеров готовых устройств. Таким образом, все описанные ранее способы снижения энергопотребления контроллера могут быть опробованы и внедрены. Приведем несколько примеров: графический конфигуратор периферии и выводов кристалла позволит отключить питание неиспользуемых блоков, утилита Energy Aware Battery — осуществить оценку длительности работы микроконтроллера при питании от типовых элементов с учетом конфигурации контроллера. Для эффективной реализации алгоритмов цифровой обработки данных можно задействовать встроенную в Simplicity Studio библиотеку CMSIS от компании ARM Ltd. Библиотека содержит готовые и оптимизированные

для EFM32 Wonder Gecko функции DSP: базовые векторные и матричные операции, операции с комплексными числами, тригонометрические и вероятностные функции, различные фильтры, преобразователи и т. д. — всего порядка шестидесяти функций. На отладочной плате EFM32WG-STK3800 (рис. 9) можно сразу опробовать готовые примеры из Simplicity Studio, среди них обработка аудиофайлов, КИХ-фильтрация, измерение частоты работы источника света и т. д. Сама отладочная плата, помимо прочего, содержит интегрированный измеритель тока, который в паре с утилитой Energy Aware Profiler из Simplicity Studio позволяет отслеживать потребление кристалла по ходу исполнения программы. Такой программноаппаратный комплекс можно использовать в том числе для изменения энергопотребления сторонних плат, обеспечивая оптимизацию по потреблению во всем цикле проектирования устройства.

Выводы Эффективным решением для разработки устройств с батарейным питанием для сбора и обработки данных «на месте», является использование микроконтроллеров с ядром Cortex-M4F. Компания Silicon Labs предлагает микроконтроллеры EFM32 Wonder Gecko и полный комплекс их программной и аппаратной поддержки для создания устройств, обеспечивающих компромисс производительности и энергопотребления. n

Литература 1. Energy Optimization AN0027 — Application Note. 2. Introducing the EFM32 Wonder Gecko: An Energy Friendly MCU with Signal Processing Capabilities. 3. Digital Signal Processing with the EFM32 AN0051 — Application Note. 4. EFM32WG Reference Manual.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


компоненты

82

системы на кристалле

Защита интеллектуальных счетчиков на всем протяжении жизненного цикла

Дэвид Эндин (David Andeen)

Введение Интеллектуальные счетчики нового поколения выполняют в электрических сетях гораздо больше функций, чем их предшественники всего несколько лет назад, по первоначальному замыслу обеспечивавшие лишь передачу данных. Современные интеллектуальные счетчики — это оконечные узлы крупномасштабных межмашинных сетей связи, взаимодействующие не только с инфраструктурой интеллектуальных энергосистем, но и с громадным парком машин и устройств, которые подключены к этим энергосистемам и будут подключаться к ним в дальнейшем. Помимо защиты в пределах электросети данных, принадлежащих поставщикам и потребителям электроэнергии, интеллектуальные счетчики вместе с сопутствующим комплексом технических средств обеспечивают управление критически важной энергетической инфраструктурой, ее мониторинг и даже защиту. Такое расширение круга задач, выполняемых интеллектуальными счетчиками, порождает качественно новые проблемы безопасности в контексте администрирования сетей. Неудивительно, что несложное шифрование и пароли более не способны обеспечить требуемый высокий уровень безопасности. В нынешних условиях необходимы комплексные меры по защите интеллектуальных счетчиков на всем протяжении их жизненного цикла — от производства до утилизации. 1

ZEUS — товарный знак компании Maxim Integrated Products, Inc.

В статье рассматриваются различные механизмы атак на интеллектуальные счетчики на разных стадиях их жизненного цикла: при производстве, во время и после монтажа и в ходе эксплуатации. Описываются реальные способы предупреждения подобных атак, в частности применение защищенных начальных загрузчиков в процессе производства и измерительных плат при монтаже, аппаратное (а не программное) асимметричное шифрование данных на стадии эксплуатации; также возможно использование ядра с кумулятивной аттестацией (cumulative attestation kernel, CAK) для долгосрочной защиты. Представлена однокристальная система (SoC) ZEUS1 компании Maxim как надежное решение для конструктивного обеспечения безопасности интеллектуальных счетчиков.

В этом указании по применению исследуются угрозы безопасности, возникающие на различных стадиях жизненного цикла интеллектуальных счетчиков (производство, монтаж, ввод в эксплуатацию и весь период эксплуатации). Попутно описываются соответствующие риски и способы противодействия перечисленным угрозам.

Насущная потребность в защищенных интеллектуальных счетчиках Внимание всем! Безопасность интеллектуальных энергосетей наконец-то признана важной общественной проблемой. Еще несколько лет назад обсуждение вопросов безопасности интеллектуальных энергосетей сосредоточивалось в основном вокруг выработки стандартов приватности и предотвращения кражи данных. Сегодня центральной темой этих дискуссий стала реальная угроза энергетическим системам общего пользования. Проблемы информационной безопасности, инфраструктурных угроз, подобных Stuxnet, а также организованных атак на электросчетчики, как в Пуэрто-Рико [1, 2], часто находят отражение в сообщениях телеграфных агентств и в новостных программах популярных телевизионных каналов [3]. Чтобы обеспечить необходимый уровень защиты систем, многие авторитетные международные организации трудятся над выработкой рекомендаций и критериев, применимых, в частности, к инфраструктуре автоматизированного учета

(AMI). В Европе это немецкая Федеральная организация по безопасности в сфере информационных технологий (Bundesamt fur Sicherheit in der Informationstechnik, BSI), опубликовавшая профиль защиты шлюзов в интеллектуальных системах учета [4]. На территории Северной Америки это Национальный институт стандартов и технологий США (NIST), который выпустил спецификацию NISTIR 7268, содержащую рекомендации по защите инфраструктуры автоматизированного учета [5]. СМИ продолжают акцентировать внимание на проблемах, связанных с интеллектуальными счетчиками, и выражать серьезную озабоченность. Но они не предлагают решений. BSI и NISTIR предоставляют описания желательных и возможных вариантов защищенной архитектуры, но практических воплощений крайне мало. По сути, на сегодня в отрасли отсутствуют многие важнейшие защитные механизмы, которые позволяли бы на системном уровне обеспечивать безопасность интеллектуальных счетчиков. Угрозы безопасности интеллектуальных счетчиков в электросетях разнообразны и постоянно видоизменяются. Следовательно, не существует какого-то единого, универсального решения для противодействия ситуации. Любая устойчивая стратегия защиты интеллектуальных счетчиков должна быть рассчитана на борьбу с меняющимися угрозами. Потенциальные проблемы начинаются еще на стадии изготовления, сборки и калибровки аппаратной части счетчиков и продолжаются на всем протяжении их эксплуа-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


системы на кристалле

тации, плановый срок которой на предприятиях энергоснабжения составляет обычно от 10 до 20 лет. Для устранения этих проблем на каждой стадии существуют свои аппаратные и программные решения. Аппаратные решения отличаются большим вычислительным быстродействием и лучшей физической защищенностью, а программные — большей гибкостью. Лучший вариант — сбалансированное сочетание аппаратных и программных мер защиты инфраструктуры системы. Одно такое оптимизированное решение для интеллектуальных счетчиков уже существует. Однокристальная система (SoC) для ZEUS компании Maxim представляет собой ультрасовременный комплекс программно-аппаратных средств защиты инфраструктуры интеллектуальных счетчиков. В настоящей статье данная система будет служить основным примером практической реализации защищенной архитектуры.

Защита на стадии производства Центральной темой дискуссий о безопасности интеллектуальных энергосистем зачастую становятся алгоритмы шифрования, применяемые в ходе эксплуатации. Подобный метод нельзя не признать чрезвычайно ценным средством защиты, но это лишь часть решения [6]. Шифрование позволяет уберечь данные в процессе работы, но не решает проблем, возникающих на этапе производства и монтажа. На самом деле, логистическая цепочка производственного предприятия — первое уязвимое место. Как и большинство компаний — изготовителей электроники, поставщики интеллектуальных счетчиков главным образом отдают производство на субподряд и зачастую не в те страны, где осуществляется проектирование. В большинстве случаев такой процесс безопасен и эффективен, но для тех, кто выпускает защищенные устройства, он влечет возникновение определенных внешних угроз. Сторонние подрядчики получают низкоуровневый доступ к архитектуре, аппаратной части и программному обеспечению системы. А потому неудивительно, что первым условием защищенности производства является защищенная логистическая цепочка. Комплектующие изделия, например полупроводниковые компоненты, должны приобретаться через доверенные каналы поставок, которые позволяют взаимно удостоверить подлинность поставщика компонентов и изготовителя комплектного оборудования. Схема «запрос – ответ» с криптографическим хэшированием — наиболее эффективный метод проверки подлинности участников логистической цепочки. Доступ к системе и управление ею в ходе производственного процесса должны быть разрешены только доверенным лицам. Здесь идут в ход цифровые подписи и криптогра-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

фические алгоритмы. Взлом защиты счетчиков, произошедший в Пуэрто-Рико, стал результатом несанкционированных манипуляций — вероятно, в ходе производственного процесса. Очень эффективное средство защиты системы на данной стадии — защищенный начальный загрузчик (secure bootloader). Рассмотрим его более детально. При помощи защищенного начального загрузчика производитель комплектного оборудования управляет доступом к контроллеру интеллектуального счетчика во время производства. Код, загруженный на заводеизготовителе, проверяется при начальной загрузке. Этот код не выполняется, если он не прошел идентификацию по асимметричному алгоритму шифрования с криптографическим хэшированием. Такой процесс позволяет удостовериться, что код происходит из доверенного источника. Аналогией в промышленности может служить доступ к корпоративной компьютерной сети: вход в систему разрешается только уполномоченному персоналу (то есть после проверки подлинности), и только этот персонал может выполнять в системе определенные команды (например, запускать код, проверенный криптографическими методами). Защищенный начальный загрузчик — бесценное средство обеспечения безопасности, объединяющее несколько уровней защиты. Без защищенного начального загрузчика в аппаратной части злоумышленникам достаточно будет одной уязвимости, например скомпрометированного ключа, чтобы проникнуть в систему. Именно поэтому сегодня столь актуально использование интеллектуальных счетчиков с однокристальной системой ZEUS, оборудованной защищенным начальным загрузчиком. В такой конфигурации только уполномоченные лица с соответствующими закрытыми ключами, прослеживаемыми по надлежащей цепочке сертификатов, смогут передавать сообщения, которые будут загружаться и выполняться системой ZEUS (а значит, и интеллектуальным счетчиком).

компоненты

83

Рис. 1. В двухплатном счетчике с незащищенной измерительной платой данные передаются по открытым проводникам соединителя

Многие современные счетчики конструктивно состоят из двух плат: измерительной и коммуникационной (рис. 1). Через них потенциально возможен доступ к данным измерений прежде, чем они будут зашифрованы для дальнейшей передачи. Другой подход — использовать одноплатный счетчик с защитными функциями (например, инкапсуляцией), реализованными в самой измерительной микросхеме. Шифрование данных со счетчика в отдельном блоке измерительной микросхемы позволяет сразу после измерения защитить их от несанкционированного доступа. Эта мера закрывает потенциальные бреши в системе безопасности между измерительной и коммуникационной частью. Данные, полученные непосредственно после монтажа, можно считать надежными. Для проверки корректности предприятие энергоснабжения может сравнить их с показаниями старого счетчика. Благодаря реализации функций шифрования в измерительной микросхеме однокристальная система ZEUS закрывает брешь между измерительной и коммуникационной частью (рис. 2), не позволяя злоумышленникам проникнуть в сеть. После монтажа защитная инкапсуляция гарантирует целостность сведений на протяжении всего срока службы счетчика.

Защита на стадии монтажа Большинство предприятий энергоснабжения не располагает достаточным количеством персонала, чтобы за приемлемое время смонтировать нужное количество счетчиков. Соответственно, для монтажа систем автоматизированного учета обычно приходится привлекать сторонних подрядчиков, которые опять-таки получают доступ к критически важной инфраструктуре. Во время монтажа возможен физический несанкционированный доступ через оптические порты или попросту изменение схемы подключения счетчиков. Проверить корректность монтажа в этой ситуации позволяет защищенная измерительная плата.

Рис. 2. В одноплатном счетчике функции защиты реализованы в самой измерительной микросхеме

Защита на стадии эксплуатации Электросчетчики, в том числе интеллектуальные, монтируются снаружи каждой квартиры и офиса, зачастую в физически незаwww.kite.ru


84

компоненты

системы на кристалле

щищенных местах, где у злоумышленников есть масса времени на их изучение. Учитывая масштабы энергосетей и длительный срок службы счетчиков, интеллектуальные счетчики в составе инфраструктуры автоматизированного учета уязвимы для различных угроз как в пространственной, так и во временной перспективе. Большая поверхность атаки Инфраструктура автоматизированного учета имеет большую поверхность атаки, то есть множество уязвимых мест, через которые можно атаковать интеллектуальный счетчик. На рис. 3 показано графическое представление такой сети, состоящей обыкновенно из сотен тысяч счетчиков, которые сообщаются с концентраторами по линиям электропередачи или радиоканалам (роль транспортной сети, осуществляющей связь концентратора с предприятием энергоснабжения, часто играет сотовая сеть). Связь концентраторов с предприятиями энергоснабжения выполняется по транспортным сетям того или иного вида (сотовым или волоконно-оптическим). Многосвязная маршрутизация и/или переадресация входящих и исходящих сообщений между счетчиками и концентраторами позволяет счетчикам автоматически расширять сеть. Такая архитектура снижает инфраструктурные издержки за счет сокращения числа концентраторов, обслуживающих то же количество счетчиков. Вместе с тем многосвязная сеть более уязвима, так как создает возможность для перехвата и модификации данных, передаваемых между интеллектуальными счетчиками. Такой вид вмешательства называется атакой типа «тайный посредник» (man in the middle, MiTM). Интеллектуальные счетчики не наделены высокоразвитыми защитными функциями и большой вычислительной мощностью, свойственными концентраторам и другому крупному сетевому оборудованию. Теоретически это означает, что атаковать счетчики легче, чем концентраторы или транспортную сеть. Более того, атака на многосвязную сеть может осуществляться на обширной территории, если сеть достаточно велика. Учитывая, что счетчики многократно обмениваются данными между собой без контроля со стороны какой-либо дополнительной сетевой инфраструктуры, каждый счетчик должен быть надежно защищен на индивидуальном уровне. Для того чтобы наделить отдельные счетчики защитными функциями, необходимо выбрать алгоритм такой индивидуальной защиты. AES и другие симметричные алгоритмы шифрования обеспечивают великолепную защиту, но обладают тем недостатком, что все счетчики имеют один и тот же ключ. Соответственно, любой злоумышленник, завладевший закрытым ключом, сможет атаковать все счетчики. Для индивидуального

Рис. 3. В инфраструктуре автоматизированного учета счетчики сообщаются с концентратором по линиям электропередачи или радиоканалам (обратите внимание, что отношение числа концентраторов к числу счетчиков на этом графике гораздо ниже)

шифрования данных лучше всего подходят асимметричные алгоритмы, поскольку в них шифрование и дешифрование данных производится с применением уникального набора секретных ключей. Ключи, используемые для многократно выполняемых защищенных операций (например, проверки подлинности), должны генерироваться внутри микросхемы, находиться в защищенной памяти и встраиваться в само защищенное изделие, чтобы сохранить в тайне секретный ключ и избежать необходимости передавать его за пределы счетчика. Если потребовать, чтобы в каждом счетчике использовалась уникальная комбинация ключей, то завладение одним секретным ключом позволит получить доступ только к определенному счетчику. Таким образом, асимметричное шифрование кардинально уменьшает поверхность атаки на инфраструктуру автоматизированного учета и значительно снижает отдачу от приложенных усилий для атакующего. Проще говоря, такая атака может теперь не оправдывать затрат времени и труда злоумышленника. Но вычисления производятся не мгновенно, а замедлять работу критичной ко времени системы не хочется никому. Поэтому важнейшая проблема при асимметричном шифровании — объем вычислений, требуемых от каждого счетчика. В этих обстоятельствах значительный выигрыш дает аппаратная реализация. Аппаратные шифрование и дешифрование с применением аппаратных ускорителей сокращают временные затраты на вычисления по сравнению с аналогичными функциями, реализованными программно. Теперь можно свести к минимуму затраты системных ресурсов на шифрование и дешифрование сообщений, высвободив эти ресурсы для решения других задач. В однокристальной системе ZEUS интегрировано несколько уровней аппаратного асимметричного шифрования, а также функции генерации и хранения секретных ключей. Для повышения стойкости асимме-

тричного шифрования секретные ключи создаются истинным генератором случайных чисел, чтобы нельзя было получить ключи посредством атак повторного воспроизведения. Реализован и ряд симметричных алгоритмов (в частности, AES), которые предоставляют еще один уровень шифрования поверх описанных выше асимметричных методов и обеспечивают соответствие стандартам защиты, требующим применения таких алгоритмов.

Гибкость в отношении будущих угроз Защищенные интеллектуальные счетчики должны быть достаточно гибкими, чтобы справляться с угрозами безопасности, которые будут возникать на протяжении многих лет после развертывания инфраструктуры автоматизированного учета. Соответственно, обнаружение и ликвидация угроз на стадии долгосрочной эксплуатации становятся следующим — и притом непростым — шагом к обеспечению жизнеспособности и безопасности счетчика и электрической сети. Как утверждают предприятия энергоснабжения, главные причины, по которым многие современные реализации инфраструктуры автоматизированного учета не оснащены системами обнаружения вторжений, — это высокие издержки и отсутствие зрелых технических решений [9]. Проблемы производителей интеллектуальных счетчиков сводятся к очевидному, но не столь простому вопросу: насколько большой вычислительной мощностью следует наделять счетчик для целей обнаружения угроз? Во многих научных статьях предлагаются решения, которые предусматривают интеграцию средств обнаружения угроз, реализованных непосредственно в счетчике и в сети [9, 10]. Одно многообещающее решение заключается в использовании ядра с кумулятивной аттестацией (CAK) — выполняемого в счетчике программного кода, который осуществляет аудит версий

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


системы на кристалле

микропрограммы и тем самым образует дополнительный рубеж обнаружения угроз на случай, если злоумышленникам удалось преодолеть шифрование и проверку подлинности. CAK может выполняться на 8‑ или 32‑разрядном микроконтроллере и требует минимального количества памяти. Эксперты, в частности специалисты Исследовательского института электроэнергетики (Electric Power Research Institute), соглашаются, что интеллектуальные счетчики следует наделять определенной дополнительной функциональностью для обеспечения безопасности и поддержки будущих решений. Данность на сегодня такова, что для устранения последствий несанкционированного проникновения в систему необходимо дорогостоящее вмешательство. Соответственно, текущая эксплуатация защищенной сети интеллектуальных счетчиков требует чегото большего, нежели просто обнаружения и ликвидации угроз. Проблема заключается в реагировании. Способы, которыми счетчик реагирует на текущие и будущие угрозы, влияют на эффективность, а также с высокой вероятностью на экономическую рентабельность инфраструктуры автоматизированного учета. Рассмотрим защищенные системы. Многие защищенные системы, такие как финансовые терминалы, немедленно выключаются в случае вторжения, не позволяя злоумышленнику проникнуть дальше в сеть. При очевидных неудобствах такого решения выгоды от него перевешивают риск потери финансовой информации, находящейся под защитой системы. Другое дело — интеллектуальные счетчики: они контролируют лишь энергоснабжение соответствующего потребителя, поэтому в данном случае необходимо взвесить все «за» и «против» любой реакции на угрозу. Немедленное выключение не лучший ответ на воспринимаемую угрозу. Правильным для сети будет оперативно оценить потенциальную угрозу, прежде чем как-то реагировать. Более того, вся инфраструктура автоматизированного учета должна продолжать работу в присутствии угроз, эффективно оценивая серьезность каждой из них. Большинство сочтет временное прекращение обслуживания одиночного потребителя менее серьезным делом, чем крупномасштабные перебои или массовые злоупотребления по всей коммуникационной инфраструктуре. Учитывая, что наибольшую угрозу для инфраструктуры автоматизированного учета представляют масштабные кибератаки, интеллектуальные счетчики должны быть в состоянии в любое время определять приоритетные способы противодействия. К тому же интеллектуальный счетчик должен обеспечивать надежную аппаратную защиту, нейтрализацию угроз и поддержку будущих программных решений без глубокой модернизации системы. Архитектура однокристальной системы ZEUS основана

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

на 32‑разрядном процессорном ядре ARM. Любая коммуникация, которая не дешифруется надлежащим образом или не проходит проверку подлинности, может игнорироваться, регистрироваться в журнале или инициировать оповещение по выбору конструктора счетчика и сетевого архитектора. Отделение измерительной части от ядра ARM гарантирует бесперебойную работу счетчика во время выполнения разнообразных программных процедур. Такая организация работы счетчика в полной мере соответствует стандарту WELMEC [11] и другим стандартам, требующим отделения измерительной аппаратуры и/или программного обеспечения от программных и аппаратных элементов с иным функциональным назначением. Более того, описанная выше аппаратная защита обеспечивает максимально быстрое выполнение коммуникационных операций, освобождая ядро ARM для выполнения системных задач. Дополнительно ядро ARM может оснащаться решениями завтрашнего дня наподобие CAK, которые функционируют поверх уже и без того надежно защищенной системы. Сочетание повышенной вычислительной мощности, аппаратной защиты и обновлений программного обеспечения для противодействия новым угрозам — вот суть высокоэффективного решения для защиты энергосистем, в котором соблюден правильный баланс между аппаратной и программной функциональностью.

Перспективные возможности Интеллектуальная энергосистема — впечатляющий результат развития энергосистемы образца двадцатого века. Но, оснастив такую огромную систему функциями сетевого взаимодействия и управления, мы тем самым значительно увеличили ее уязвимость для атак на систему безопасности, и в первую очередь кибератак. Международные организации трудятся над стандартизацией характеристик таких систем, а СМИ сообщают о технологических достижениях в этой сфере и случаях взлома системы безопасности. Однако ответственность за защиту от атак ложится на производителей интеллектуальных счетчиков. Упреждающий подход к проектированию интеллектуальных счетчиков заключается в разделении аппаратной и программной функциональности, а также в обеспечении защиты счетчика на всем протяжении его жизненного цикла — от приобретения сторонних комплектующих и производства до монтажа и долгосрочной эксплуатации. На основе глубокого знания проблематики интеллектуальных счетчиков и развивающейся электроэнергетической отрасли компания Maxim Integrated спроектировала однокристальную систему ZEUS — передовое элегантное решение для интеллектуальных счетчиков сегодняшнего и завтрашнего дня. n

компоненты

85

Автор благодарит своих коллег Бена Смита (Ben Smith), Кристофа Тремлета (Christophe Tremlet) и Грегори Гуэса (Gregory Guez) за их технический вклад в написание этой статьи.

Литература 1. FBI: Smart Meter Hacks Likely to Spread. Krebs on Security, апрель 2012 — http://krebsonsecurity. com/2012/04/fbi-smart-meter-hacks-likely-tospread/ 2. Tutorial 5445. Stuxnet and Other Things that Go Bump in the Night. 3. Senators Aim To Protect Electric Grid From Hackers. CBS News, April 30, 2012 — www.cbsnews.com/ 8301-503544_162-4981641-503544.html 4. Protection Profile for the Gateway of a Smart Metering System. Bundesamt fur Sicherheit in der Informationstechnik, Gateway PP v01.01.01 (final draft), 2011. 5. Introduction to NISTIR 7628 Guidelines for Smart Grid Cyber Security. and Guidelines for Smart Grid Cyber Security. volumes 1–3, The Smart Grid Interoperability Panel-Cyber Security Working Group, National Institute of Standards and Technology, U. S. Department of Commerce, September and August 2010 — http://csrc.nist. gov/publications/nistir/ir7628/introduction-tonistir‑7628.pdf, http://csrc.nist.gov/publications/ nistir/ir7628/nistir‑7628_vol1.pdf, http://csrc.nist. gov/publications/nistir/ir7628/nistir‑7628_vol2. pdf, http://csrc.nist.gov/publications/nistir/ ir7628/nistir‑7628_vol3.pdf 6. Tutorial 5486. Securing the Life Cycle of the Smart Grid. 7. Tutorial 3675. Protecting R&D Investment with Secure Authentication. 8. Intrusion Detection System for Advanced Metering Infrastructure, Electric Power Research Institute, December 31, 2012 — www.epri.com/ abstracts/Pages/ProductAbstract.aspx?Product Id=000000000001026553 9. LeMay M., Gunter C. A. Cumulative Attestation Kernels for Embedded Systems. IEEE Transactions on Smart Grid, vol. 3, no. 2, June 2012 — http://seclab.web.cs.illinois.edu/wp-content/ uploads/2011/03/LeMayG09‑esorics.pdf. 10. M c L a u g h l i n   S . , H o l b e r t   B . , Z o n o u z   S . , Berthier R. AMIDS: A Multi-Sensor Energy Theft Detection Framework for Advanced Metering Infrastructure. Paper presented at the IEEE Third International Conference on Smart Grid Communications (SmartGridComm), in Tainan City, Taiwan, Nov. 5–8, 2012, http:// ieeexplore.ieee.org/xpl/login.jsp?tp=&arnum ber=6486009&url=http%3A%2F%2Fieeexplo re.ieee.org%2Fiel7%2F6479749%2F6485945% 2F06486009.pdf%3Farnumber%3D648600. 11. Software Guide (Measuring Instruments Directive 2004/22/EC). WELMEC Working Group 7, March 2012, Issue 5, www.welmec.org/fileadmin/user_ files/publications/WELMEC_07.02_Issue5_ SW_2012-03-19.pdf 12. Application note 5631 — http://www.maximintegrated.com/an5631 13. www.maximintegrated.com/distributors

www.kite.ru


86

новости

блоки питания

Мощные AC/DC-источники питания серии RST‑5000 от Mean Well

Реклама

Компания Mean Well продолжает расширение линейки мощных источников питания для промышленного применения и в дополнение к существующим сериям источников питания 1000, 1500, 2000, 2400 и 3000 Вт представляет новую серию мощностью 5000 Вт — RST‑5000. Источники питания обладают входным напряжением 196–305 В (3 фазы, 3 провода/«треугольник») или 340–530 В (3 фазы, 4 провода/«звезда»). Модели источников питания данной серии имеют два варианта выходных напряжений, являющихся наиболее популярными в промышленных применениях — 24 и 48 В. В источниках питания серии RST‑5000 предусмотрена возможность регулировки выходного напряжения и тока с помощью внешнего управляющего сигнала: • регулировка выходного напряжения: диапазон 20–120% от номинального значения, управляющий сигнал 1–6 В постоянного напряжения; • регулировка выходного тока: диапазон 20–100% от номинального значения, управляющий сигнал 1–5 В постоянного напряжения. Данная возможность позволяет в значительной степени расширить область использования новых источников питания.

Источники серии RST‑5000 оснащены встроенным активным корректором мощности. Кроме того, в данных источниках применена новая схемотехника, что позволяет получить КПД до 91%. Высокий КПД обеспечивает полную мощность при температурах окружающей среды до 50 °C с применением встроенного вентилятора. Серия RST‑5000 снабжена функцией параллельного подключения до трех источников питания. Предлагается два типа защиты источников питания от перегрузки: • режим токоограничения; • токоограничение с задержкой отключения пять секунд. Данная возможность позволяет источникам питания эффективно работать с различными типами нагрузок. Основные области применения источников питания: автоматизированное оборудование, промышленные средства управления, системы телекоммуникаций. Технические характеристики: • входное напряжение 196–305 В (3 фазы, 3 провода/«треугольник») или 340–530 В (3 фазы, 4 провода/«звезда»); • встроенный активный корректор мощности; • КПД до 91%; • регулировка выходного напряжения: диапазон 20–120% от номинального значения, управляющий сигнал 1–6 В постоянного напряжения; • регулировка выходного тока: диапазон 20–100% от номинального значения, управляющий сигнал 1–5 В постоянного напряжения; • возможность параллельного подключения; • охлаждение с помощью встроенного вентилятора с функцией дистанционного управления; • функция компенсации падения напряжения на длине провода; • дистанционное включение/выключение; • дополнительный выход питания (12 В; 0,1 А); • предупреждающие сигналы: ошибка по входному напряжению, неисправность вентилятора, наличия выходного напряжения; • защита от короткого замыкания, перенапряжения, перегрева, перегрузки (режим токоограничения или токоограничение с задержкой отключения 5 с); • сертификаты: UL/CUL/TUV/CB/CE; • размеры (Д×Ш×В): 480×211×83,5 мм. www.aviton.spb.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


силовая электроника

87

Объемные или тонкопленочные термоэлектрические модули

Геннадий Громов gennadi.gromov@rmtltd.ru

Введение До настоящего времени традиционная технология изготовления термоэлектрических модулей (охладителей или генераторов) включала производство термоэлектрического материала в виде объемных слитков, изготовление из них термоэлементов и сборку термоэлементов в матрицы пар n‑ и p‑типа. За последние годы в этой технологии достигнут заметный прогресс как по свойствам полупроводниковых материалов, так и по технологии миниатюризации. В миниатюризации современные производители вплотную подошли к границам технологических возможностей [1–3]. Микромодули имеют размеры на уровне единиц миллиметра, а линейные размеры термоэлементов — на уровне 0,15–0,2 мм [1].

а

В последние годы в технологии создания термоэлектрических микромодулей достигнут значительный прогресс. Причем одновременно с прогрессом в так называемой объемной технологии получила развитие и новая методика изготовления термоэлектрических модулей, вобравшая известные операции технологий тонких пленок. Данные термоэлектрические модули именуют тонкопленочными. При наличии обоих типов на рынке возникает важный вопрос о конкуренции двух технологий и изделий, созданных на их основе: является ли новая тонкопленочная технология более прогрессивной, способной вытеснить давно существующую «объемную»? Анализ показывает, что для большинства современных применений объемные термоэлектрические модули, как холодильные, так и генераторные, сохраняют свои преимущества. При внешне кажущихся бесспорными достоинствах тонкопленочных охладителей и генераторов рабочие параметры и эффективность «объемных» модулей заметно выше. За тонкопленочными модулями будущее. В широком диапазоне применений оба типа изделий имеют свои ниши и, если их правильно позиционировать, скорее не конкурируют, а дополняют друг друга.

Новой технологией, получившей свое развитие в последние годы, явилось изготовление термоэлектрических модулей (рис. 1), в которых термоэлементы из объемного термоэлектрического материала заменены элементами, получаемыми методами тонкопленочного нанесения различными способами (вакуумное напыление, осаждение из растворов, MOCVD, MBE). Сама структура модуля создается методами нанесения тонких пленок и создания коммутационных рисунков из них. Толчком к разработке технологии тонкопленочных термоэлектрических модулей и инвестированию в это новое направление явились многообещающие достоинства современных тонкопленочных технологий. Прежде всего, такие технологии широко применяются в современной микроэлектро-

б

Рис. 1. Современный уровень миниатюризации: а) миниатюрные тонкопленочные модули; б) объемные термоэлектрические модули

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

нике, что должно обеспечить преимущества для массового выпуска (производительность, себестоимость). Кроме того, совместимость технологий с производством полупроводниковых приборов обещает непосредственную интеграцию таких охладителей в устройства, которые требуют теплоотвода и охлаждения. Тонкопленочные модули дают заметный импульс к дальнейшей миниатюризации термоэлектрических изделий, что весьма актуально для нужд опто- и микроэлектроники, где применяется термоэлектрическое охлаждение. И наконец, тонкопленочные модули обещают большие перспективы в улучшении и без того высоких удельных характеристик, в частности огромной холодильной или генераторной мощности, значительно превышающие возможности традиционных термоэлектрических модулей. В связи с заметной разницей в технологиях изготовления появилась новая терминология, разделяющая термоэлектрические модули на так называемые объемные (bulk) и тонкопленочные (thin-film). На рис. 2 схематично представлены различия в конструкции и технологиях изготовления. Тонкопленочные модули [4] собираются (спаиваются) из двух половин. Каждая из половин — это, например, кремниевая подложка, на которой формируется коммутационный рисунок и поверх него напылением создаются термоэлементы из термоwww.kite.ru


88

силовая электроника

а

сборки матрицы из чередующихся объемных термоэлементов n‑ и p‑типа и спаивания этой матрицы между данными керамическими подложками. В мощных объемных модулях контакт между соседними термоэлементами усиливается прокладыванием дополнительно медных контактных пластинок. Тонкопленочные термоэлектрические модули уже вышли из лабораторий и находят практические применения. Производители таких изделий уже присутствуют на рынке наряду с изготовителями традиционных объемных термоэлектрических модулей. В то же время за объемными термоэлектрическими охладителями большинство современных рынков применений, устоявшаяся технология, проверенная надежность и разумные цены. В связи с этим закономерны следующие вопросы. Что это, конкуренция новой и старой технологий? Вытеснят ли новые изделия и технологии традиционные? Где границы их сосуществования и конкуренции? Поскольку термоэлектричество можно разделить на два сегмента — охлаждение и генерацию, то имеет смысл последовательно рассмотреть эти вопросы в обоих сегментах.

Термоэлектрическое охлаждение б

Рис. 2. Конструкция микромодулей: а) тонкопленочного; б) объемного

электрического материала n‑ или p‑типа (одна и вторая стороны), а уже поверх них наносится слой припоя. Для формирования такой конструкции рисунков и термоэлементов применяются методы напыления, фотолитографии и травления. Затем две стороны соединяются методом пайки. Объемные микромодули изготавливаются путем предварительной подготовки коммутационных рисунков металлизации преимущественно на керамических подложках,

Ключевыми для этой отрасли являются следующие характеристики термоэлектрических модулей — термоэлектрических охладителей (ТЭО): • охлаждающая способность — глубина охлаждения; • холодильная мощность; • динамические характеристики; • габаритные размеры. В большинстве случаев в качестве примеров взяты известные на рынке объемные и тонкопленочные ТЭО схожих габаритных размеров. На сегодня существует только две компании, занятых выпуском тонкопленочных охладителей с довольно небольшой пока номенклатурой — три типа ТЭО у LairdNextreme [5] и два типа ТЭО у Micropelt [4].

Рис. 3. Сравнение охлаждающей способности ΔTmax в среде осушенного воздуха выбранных примеров выпускаемых модулей: 1MD02-008-05 и MPC-D403

В качестве сравнения легко использовать номенклатуру модулей РМТ [1], так как среди более чем 1800 выпускаемых наименований объемных ТЭО легко найти размерные аналоги. Глубина охлаждения На рис. 3 приведено сравнение по глубине охлаждения выбранных примеров (по данным производителей) в зависимости от температуры окружающей среды осушенного воздуха. Способность охлаждать (максимальный перепад температур ΔTmax) зависит от термоэлектрической добротности Z ТЭО: (1) где T — температура окружающей среды. В свою очередь, добротность ТЭО определяется свойствами термоэлектрического материала в нем:

Z = (a2s)/k,

(2)

где a — коэффициент Зеебека; s — электропроводность; k — теплопроводность. Глубина охлаждения ΔTmax современных тонкопленочных модулей заметно (почти в 1,5–2 раза) уступает охлаждающей способности типичных объемных модулей (рис. 3). Этот ключевой недостаток тонкопленочных микромодулей обусловлен фундаментальными физическими причинами. Здесь используются те же полупроводниковые материалы, что и в объемных ТЭО, — твердые растворы на основе теллурида висмута и сурьмы. Такие полупроводниковые материалы p‑типа изотропны по термоэлектрической добротности Z. А в n‑типе наблюдается сильная анизотропия — зависимость величины Z от кристаллической ориентации. Для объемных ТЭО термоэлементы из таких материалов изготавливаются специальными методами, оптимизирующими кристаллическую структуру и ориентацию. В тонкопленочных технологиях невозможно применить подобные приемы. Поэтому слабо текстурированные поликристаллические пленки термоэлектрического материала n‑типа имеют добротность Z почти в два раза ниже. Согласно приведенным данным (рис. 3), в объемных модулях величина Z составляет около (2,6–3)10–3 К–1 (рис. 4). В тонкопленочных ТЭО всего около (1,1–1,2)10–3 К–1. Вместе с тем только неоптимальностью кристаллической структуры нельзя объяснить столь низкую охлаждающую способность тонкопленочных модулей по сравнению с объемными. Если в термоэлементах n‑типа добротность в два раза ниже, чем у текстурированных объемных ТЭО, это должно отразиться в средней добротности пары тонкопленочных термоэлементов n‑ и p‑типа, которая бы

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


силовая электроника

Рис. 5. Сравнение зависимости ΔT от Q для тонкопленочного MPC-D403 и объемных однокаскадного 1MD02-008-05 и двухкаскадного 2MD04-042-05 модулей при +85 °C при максимальных рабочих токах

Рис. 4. Зависимость охлаждающей способности от термоэлектрической добротности в модуле (при Т = 300 К)

составила величину около Z = 2,2–2,3 К–1. И охлаждающая способность — на уровне ΔTmax = 60…62 К. Поскольку реальная охлаждающая способность тонкопленочных ТЭО значительно ниже, должны быть иные факторы в конструкции или принципиальные физические ограничения для тонкопленочных модулей. Одним из таковых является контактное сопротивление — электрическое сопротивление между полупроводниковыми термоэлементами и коммутационными дорожками: (3) где R L — сопротивление термоэлемента; ρ L — удельное сопротивление материала термоэлемента; ρС — контактное сопротивление; h — высота термоэлемента; s — площадь сечения термоэлемента. В конструкции термоэлектрических модулей термоэлементы имеют последовательную коммутацию, поэтому в общем сопротивлении такого модуля есть две составляющие: собственное сопротивление термоэлементов плюс сопротивление их контактов с коммутационными дорожками. На каждый термоэлемент приходится два таких контакта. Типичные значения ρL = (1–2)10–3 Ом·см, а ρС = (2–3)10–6 Ом·см2. При снижении высоты термоэлементов ниже 0,1 мм вклад контактного сопротивления становится сопоставимым с сопротивлением термоэлементов. Если в объемных модулях контактным сопротивлением еще можно пренебречь, то в тонкопленочных ТЭО это заметная величина, значительно увеличивающая общее сопротивление, что становится существенным фактором снижения добротности ТЭО. Следует отметить, что указанный недостаток в максимальной ΔTmax тонкопленочных ТЭО является, по-видимому, одной из причин, почему такие производители обычно предпочитают не приводить рабочие параметры при комнатной температуре (что ти-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

89

Таблица 1. Стандартные спецификации производителей на выбранные примеры ТЭО сравнения Условия измерений

ΔTmax, °C

воздух вакуум

54 65

воздух вакуум +27 °C (300 K), вакуум

84 88 71

+85 °C (358 K)

+85 °C (358 K)

Qmax, Вт

Imax, A

Umax, В

Relec, Ом

A, мм

модуль MCP-D403 из каталога охладителей Micropelt [6] 0,67 0,24 5,5 23 1 – модуль 1MD02-008-05 из каталога охладителей РМТ [7] 0,28 0,36 1,24 2,59 0,28 0,36 1,26 2,59 1,2 0,23 0,4 1 1,98

пично для объемных ТЭО), а представляют данные при температурах, предельных для эксплуатации, — при +85 °C (табл. 1). При повышенной температуре, как известно, величина ΔTmax заметно выше (рис. 3). Холодильная мощность На рис. 5 приведено сравнение выбранных примеров ТЭО на типичных графиках зависимости ΔT от Q (где Q — в единицах плотности мощности). При заданных электрических токах зависимости ΔT от Q для ТЭО имеют линейный характер. Крайняя точка на оси ΔT — это максимальный перепад температур (при заданном токе) ΔTmax, а на оcи Q — это максимальная холодильная мощность Qmax при нулевом перепаде температур. На рис. 5 для конкретных примеров мощность Q представлена в виде нормированной величины на размер холодной стороны ТЭО, что удобно для сравнения модулей. Тонкопленочный модуль, заметно проигрывая по ΔTmax, имеет, однако, преимущество по холодильной мощности. Наиболее мощные объемные ТЭО, производимые РМТ по специальной технологии, показывают Qmax на уровне 30–40 Вт/см2. Для тонкопленочных модулей типичные значения Qmax находятся на уровне 40–100 Вт/см2. Здесь следует отметить один важный момент. Для объемных модулей указанные плотности мощности находятся на пределе возможностей объемной технологии изготовления. А для тонкопленочных заявляется, что возможны и более мощные решения.

B, мм

C, мм

D, мм

H, мм

1,55

2

1,55

1,09

1,2

1,2

1,9

1,1

Холодильная мощность напрямую связана с максимальным электрическим током, а больший ток возможен при уменьшении высоты термоэлементов. Для объемных термоэлементов высота на уровне 150–200 мкм — это предел технологии. Типичные же тонкопленочные термоэлементы имеют высоту на уровне 30–40 мкм, и ее возможно уменьшить. Вместе с тем уменьшение высоты термоэлементов тоже небезгранично, поскольку здесь вступают иные ограничивающие факторы: контактное сопротивление (3), теплопроводность материалов конструкции и т. п. Кроме того, при очень больших плотностях мощности сам ТЭО становится проблемным объектом, от которого надо отвести большой тепловой поток, выделяющийся на горячей стороне. Суммарно необходимо отводить заметно больше тепла, чем полезное Qmax. Отвод больших тепловых потоков — непростая задача, делающая целесообразность «погони» за увеличением холодильной мощности ТЭО не столь очевидной. Из представленного сравнения (рис. 5) можно сделать выводы, что тонкопленочные и объемные ТЭО скорее не конкурируют, а взаимно дополняют друг друга. Каждый из двух типов имеет свою нишу полезных применений. Там, где требуется глубокое охлаждение, доминируют объемные ТЭО. А это большинство современных применений в оптоэлектронике и лазерной технике. Здесь тонкопленочные ТЭО с небольшой ΔTmax = 35–45 °C вряд ли получат широкое распространение. www.kite.ru


90

силовая электроника

Таблица 2. Примеры динамических характеристик объемных микромодулей РМТ

Тип ТЭО

Высота термоэлемента, мм

Общая высота, мм

Стороны материал

толщина, мм

Постоянная времени, c

1MD02-040-03/1

0,7

0,3

AlN

0,15

0,1

1MDD04-028-04

1,0

0,3

Al2O3

0,25

0,23

1MD03-024-05/1

1,1

0,5

AlN

0,25

0,45

Таблица 3. Сравнение габаритов тонкопленочных и объемных микромодулей на примерах

Производитель

Micropelt [4]

Nextreme [5]

Рис. 6. Сравнение областей применимости тонкопленочных и объемных ТЭО

Кроме того, объемные ТЭО для таких применений могут изготавливаться в виде многокаскадных конструкций с увеличенным ΔTmax (рис. 4). Многокаскадных тонкопленочных ТЭО на рынке и в лабораториях пока нет. В то же время в применениях, где не нужно глубокое охлаждение, а требуется отвод максимального количества тепла, у тонкопленочных ТЭО есть преимущества. Здесь объемные ТЭО дошли до практического предела, а тонкопленочные, имеющие в несколько раз большие возможности, могли бы использоваться для отвода тепла от микропроцессоров, светодиодов и т. п. В координатах ΔT от Q (рис. 5) существует довольно обширная область, перекрываемая характеристиками обоих типов ТЭО. Здесь есть кажущаяся конкуренция между изделиями двух технологий, однако при более глубоком анализе необходимо учитывать характеристику эффективности охлаждения, выражаемую коэффициентом СОР (Coefficient of Performance) — отношением полезной холодильной мощности (Q) к затраченной на нее электрической мощности (UI):

СОР = Q/(UI).

(4)

Можно показать, что в большей части пересекающейся области объемные модули будут работать эффективнее (то есть потреблять меньше энергии), чем тонкопленочные (рис. 6). Динамические характеристики Под динамическими характеристиками понимается скорость, с которой ТЭО способен охлаждать или даже нагревать объекты. Это весьма востребованная характеристика термоэлектрических модулей в применениях, где необходимо циклическое изменение температур или быстрый вывод объекта охлаждения на рабочую температуру, — термоциклеры и т. п.

РМТ [1]

Размеры: холодная сторона А×В, горячая сторона C×D, мм

Тип ТЭО

MPC-D403 MPC-D404 HV14 HV37 HV56 1MD02-004-03 1MD02-024-05 1MD03-015-04

У ТЭО, как электронного прибора, такой характеристикой является собственная постоянная времени. Это длительность экспоненциального переходного процесса в результате ступенчатого воздействия напряжением постоянного тока на ТЭО, в течение которого разность температур достигнет 63,2% от стационарного значения при установившемся стационарном токе. Следует отметить, что ступенчатое воздействие при этом осуществляется приложением постоянного напряжения, а нарастание тока до стационарного значения будет коррелировать с изменением температуры до стационарной. Миниатюрные ТЭО имеют весьма скоростные характеристики. Постоянная времени зависит от теплопроводности ТЭО в направлении теплового потока и теплоемкости его элементов — материала термоэлементов, материала верхней и нижней сторон (рис. 2). При высотах термоэлементов менее 0,2–0,3 мм динамические характеристики в основном определяются теплоемкостью материалов холодной и горячей сторон. Поэтому тонкопленочные и объемные микромодули должны иметь сравнимые динамические характеристики. Производители тонкопленочных ТЭО часто рекламируют высокие динамические параметры тонкопленочных микромодулей. Однако для сравнения чаще всего сознательно некорректно берут образцы больших объемных ТЭО, не предназначенных для таких применений [8, 9], в результате подобного анализа тонкопленочные микромодули показывают кажущиеся преимущества, в десятки раз превышающие параметры объемных модулей. Попробуем разобраться на примерах. В таблице 2 приведены динамические характеристики объемных микромодулей РМТ. Типичные значения — менее одной секунды.

A

B

C

D

1 1 1,77 2,36 3,54 1 2,1 2,5

1,55 1,21 1,15 2,36 2,36 1 2,1 3

1

2 1,56 1,89 3,37 3,57 1,6 2,7 3,9

2,04 2,38 3,54 1 2,1 2,5

Высота (H), мм 1,09 1,09 0,6 0,62 0,6 0,8 1,1 0,9

Производитель тонкопленочных ТЭО компания Laird-Nextreme в стандартных спецификациях указывает некую динамическую характеристику, например для модуля eTEC Series HV14 — “2 ms Response Time” [10]. Однако следует с осторожностью относиться к такому параметру. Очевидно, что он не имеет физического смысла постоянной времени. Там же в спецификации [10] производитель указывает максимальную скорость нарастания тока 1,75 A/с. При указанном в спецификации значении Imax = 1 A постоянную времени такого ТЭО можно оценить как 0,36 с, что не отличается от значений объемных микромодулей РМТ (табл. 2). Компания Micropelt в целях увеличения динамических характеристик своих модулей значительно утончает кремниевые стороны микромодулей [8]. В результате скоростные характеристики улучшаются до 60–150 мс. В целом, сопоставляя доступные данные, можно говорить о том, что как объемные, так и тонкопленочные микромодули имеют близкие динамические характеристики. Меры по увеличению динамики в обеих технологиях одинаковы: утончение, миниатюризация конструкции приводят к похожим результатам — лучшие значения на уровне 100 мс. Необходимо отметить, что погоня за скоростными характеристиками миниатюрных ТЭО имеет свой предел — реальные минимальные размеры элементов конструкции. Кроме того, интегральная динамическая характеристика зависит от параметров объекта охлаждения. И часто его массивность, высокая теплоемкость и теплонатекания катастрофически снижают динамические характеристики. Габаритные размеры В силу применяемых технологий тонкопленочные модули изначально позиционировались как микромодули. Их типичные линейные размеры — единицы миллиметров. Изготовление

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


силовая электроника

тонкопленочных модулей больших размеров сопряжено с технологическими трудностями (требования к точности совмещения, надежности контактов и т. п.). В результате увеличение линейных размеров ведет к нелинейному возрастанию себестоимости изготовления. Для объемных ТЭО характерны две подобласти — это так называемые микромодули с размерами менее 10–15 мм и большие модули с размерами до 40–60 мм и более. Дальнейшее увеличение линейных размеров (для применений, где нужны большие суммарные мощности) сопряжено со снижением надежности из-за возникающих напряжений в конструкции ТЭО материалов с разными коэффициентами линейного расширения (КТР). Минимальные размеры современных объемных микроохладителей сопоставимы с размерами тонкопленочных. В таблице 3 все на сегодня стандартные коммерческие тонкопленочные микромодули сопоставлены с несколькими схожими по размеру стандартными объемными микромодулями РМТ (или общей номенклатуры более 1800 типоразмеров). Таблица 3 показывает, что тонкопленочные микромодули не имеют преимущества в миниатюрности по сравнению с аналогичными объемными микромодулями. Более того, в силу более гибкого цикла разработки и производства объемные микромодули выпускаются в значительно большей и разнообразной номенклатуре.

Термоэлектрическая генерация Здесь мы рассмотрим объемные и тонкопленочные термоэлектрические генераторы (ТЭГ) на базе сходных полупроводниковых материалов — так называемых низкотемпературных генераторных материалах на основе твердых растворов теллурида висмута. Существует три типа объемных термоэлектрических генераторных модулей по температурным диапазонам, изготовленных из разных материалов, — низкотемпературный, среднетемпературный и высокотемпературный. Однако коммерческие тонкопленочные модули для генерации представлены в данной классификации пока только как низкотемпературные ТЭГ. По сути, низкотемпературные термоэлектрические генераторные модули выпускаются из тех же материалов и по той же технологии, что и охладители. Можно даже сказать, что охладители используются с таким же успехом, как и низкотемпературные генераторы. Это в настоящее время набирающая популярность область преобразования энергии, утилизации окружающего, бросового тепла — energy harvesting/green energy.

ностью ТЭГ вырабатывать термо-ЭДС (E) и обеспечить протекание рабочего тока (I). Основными соотношениями являются:

E = 2NaΔT,

91

Максимальная полезная мощность (Pmax) может быть получена при согласованной электрической нагрузке (RL = ACR):

(5)

(17)

(6)

I = E/(ACR+RL),

(7)

U = IRL,

(8)

(18)

(9) где a — коэффициент Зеебека; N — количество пар термоэлементов; ΔT — перепад температур на сторонах генератора; ACR — внутреннее сопротивление ТЭГ (собственное сопротивление модуля, измеряемое на переменном токе); s — электропроводность материала термоэлементов; R L — сопротивление внешней электрической нагрузки; s — сечение термоэлемента; h — высота термоэлемента; f = s/h — форм-фактор термоэлемента; U — напряжение нагрузки. При одинаковых перепадах температур, что обычно позволяют сравнивать стандартные спецификации, тонкопленочные (T — Thin) генераторы имеют кажущееся значительное преимущество перед объемными (B — Bulk). Это происходит из-за того, что большая плотность упаковки (Y) термоэлементов (2N) по площади поверхности (S) ТЭО обеспечивает более высокую термо-ЭДС (ET и EB, соответственно):

Y = 2N/S,

В условиях одинаковых тепловых потоков (Q = const) объемный ТЭГ генерирует термоЭДС, сравнимую с тонкопленочным ТЭГ, а за счет меньшего внутреннего сопротивления имеет заметное преимущество по выдаваемой электрической мощности. Коэффициент полезного действия Это один из ключевых вопросов для всех типов преобразователей энергии. У термоэлектрических генераторов это к тому же и слабое место — в целом низкая величина КПД. Для низкотемпературных ТЭГ она не превышает 4–5%. Для ТЭГ КПД (η) можно выразить в первом приближении через добротность модуля и разницу температур на его сторонах как:

η = (Z/4)ΔT.

В объемных модулях Z составляет величину около (2,6–3)10–3 К–1 (рис. 4). В тонкопленочных ТЭО — всего около (1,1–1,2)10–3 К–1. Отсюда: (20)

(10) (11)

(12) Однако для анализа эффективности работы генератора необходимо сравнивать термо-ЭДС, вырабатываемые генераторами при одинаковых значениях теплового потока (Q = const). Здесь картина получается иная:

(19)

Еще показательнее сравнение КДП при преобразовании одинаковой плотности теплового источника (Q/S = const); при этом ΔT могут отличаться. Площадь модуля (S) можно представить через число термоэлементов (2N), площадь сечения каждого (s) и коэффициент заполнения (x):

x = (2Ns)/S,

(21) (22)

Q = KΔT,

(13)

(23)

K = k2Nf.

(14)

Сравнивая КПД двух типов ТЭГ при одинаковой плотности теплового потока (Q/S = const), получаем:

Откуда: (15)

(24) Электрические характеристики Эффективность термоэлектрического преобразования тепла определяется способ-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

(16)

По КПД объемный ТЭГ значительно более эффективен, чем тонкопленочный. При www.kite.ru


силовая электроника

равных перепадах температур (ΔT = const) объемный ТЭГ будет иметь более чем в два раза больший КДП по сравнению с тонкопленочным. А при равных тепловых потоках разница еще выше. В таблицах 4 и 5 представлены данные по выбранным для сравнения похожим по габаритам тонкопленочным и объемным ТЭГ. Параметры из спецификаций производителей позволяют сделать численные оценки, хорошо подтверждающие вышеприведенные расчеты.

Выводы

При ΔT = 10 °C

Производитель

Тип ТЭГ

Nextreme [5] Micropelt [4] РМТ [1]

E, мВ

IE, мА

eTEG PG24

0,18

MPG-D655

0,8

1MD03-008-04 1MD02-040-03

RT, K/Вт

B, мм

Количество пар термоэлементов

R, Ом

A, мм

21

7,5

2,09

2,02

72

22

170

2,87

2,89

288

P, мВт

U, В

I, мА

24

1

0,09

12

5

0,94

0,4

2

0,032

44

0,36

0,016

22

185

0,7

2

2

8

0,16

27

1,07

0,08

13

63

6

2,7

2,7

40

Таблица 5. Данные по коммерческим микрогенераторным модулям по результатам расчета Производитель

Тип ТЭГ

Nextreme [5] Micropelt [4]

eTEG PG24 MPG-D655 1MD03-008-04 1MD02-040-03

РМТ [1]

Площадь, мм2 4,22 8,28 4 7,29

При ΔT = 10 °C Q, Вт

E/S, мВ/см

P/S, мВт/см2

Q/S, Вт/см2

E/Q, мВ/Вт

η, %

0,48 0,45 0,05 0,16

0,43 0,97 0,08 0,22

2,37 1,14 0,89 1,47

1,13 0,55 0,14 0,22

0,38 1,76 0,59 1

0,21 0,21 0,66 0,67

2

электронные DC/DC-преобразователи [11] с успехом работают от малых величин термо-ЭДС. 5. При корректном сравнении генерируемой термо-ЭДС относительно величины теплового потока, эффективность объемных ТЭГ заметно выше как по генерируемой мощности, так и по эффективности преобразования (КПД). Особенно заметно преимущество объемных ТЭГ по КПД. 6. Проблемами тонкопленочных ТЭГ является низкая эффективность полупроводникового материала термоэлементов и высокое сопротивление. Недостаточная эффективность термоэлектрического материала — это фундаментальное ограничение тонкопленочной технологии. Высокое сопротивление — цена миниатюризации и высокой плотности упаковки термоэлементов. 7. Для обоих применений (охлаждение и генерация) перспективы тонкопленочных термоэлектрических модулей могут быть связаны с поиском новых, более эффективных термоэлектрических материалов. Однако если это будут новые термоэлектрические материалы, то, скорее всего, они также приведут к улучшению параметров и объемных модулей, что сохранит их преимущество, продемонстрированное выше.

8. Поэтому перспективы тонкопленочных модулей могут быть скорее связаны с применением специальных структур (квантоворазмерные структуры и т. п.). Но такие технологии дороги. Это будет долго оставаться сдерживающим фактором использования тонкопленочных технологий в коммерческом термоэлектричестве. n

Литература 1. www.rmtltd.ru 2. http://www.kelk.co.jp/english 3. http://www.yamaha.co.jp/english/product/ thermoelectric_cooler 4. www.micropelt.com 5. h t t p : / / w w w . l a i r d t e c h . c o m / n e x t r e m e / # . U2plC6OGiUk 6. http://www.micropelt.com/downloads/datasheet_ mpc_d403_d404.pdf 7. http://www.rmtltd.ru/datasheets/1md02008.pdf 8. http://micropelt.com/down/ict05_haboe.pdf 9. h t t p : / / w w w . n e x t r e m e . c o m / m e d i a / p d f / whitepapers/Nextreme_Whitepaper_Use_of_ Thin-Film_Thermoelectrics_in_PCR_Thermal_ Cycling_NWP005.1.pdf 10. http://lairdtech.thomasnet.com/viewitems/ thermoelectric-modules‑2/etec-series 11. http://www.linear.com/products/Micropower_ Boost

Реклама

Современный уровень технологии изготовления объемных ТЭО позволяет им успешно конкурировать с тонкопленочными микромодулями по большинству параметров — по миниатюрности, холодильным параметрам и динамическим характеристикам. 1. Тонкопленочные термоэлектрические охладители имеют свои области применений для задач, где требуется отвод большого количества тепла с малой площади. При этом не приходится рассчитывать на заметное охлаждение. 2. Основной проблемой тонкопленочных термоэлектрических охладителей является крайне низкая эффективность термоэлектрического материала, что не позволяет получать большие перепады температур. Кроме того, до сих пор не известны решения по каскадированию тонкопленочных модулей, что, однако, хорошо отработано для объемных ТЭО и используется для увеличения глубины охлаждения. 3. Для большинства современных применений, где требуется термоэлектрическое охлаждение, объемные термоэлектрические охладители имеют преимущества перед тонкопленочными. 4. Тонкопленочные термоэлектрические генераторы имеют кажущиеся преимущества в части генерируемой термо-ЭДС. Большая величина термо-ЭДС делает более простым дальнейшее использование преобразованной энергии. Однако современные, специально разработанные

Таблица 4. Данные по коммерческим микрогенераторным модулям из спецификаций производителей

Реклама

92

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


94

новости

автоматизация

Преобразователь частоты со встроенными интеллектуальными сервисами для промышленных применений от Schneider Electric к обогащенным данным как на уровне завода, так и на уровне отдельно взятых активов. Встроенный Ethernet и веб-сервер предоставляют безопасный и надежный доступ к этой информации в любое время и в любом месте. Встроенный веб-сервер дает возможность пользователям выбрать, какую информацию необходимо просмотреть, а какую сохранить (регистрация данных, datalogging). Кроме того, ключевые показатели эффективности и сигналы тревоги контролируются автоматически, и системы генерируют предупреждения для операторов о любых ошибках и нарушениях, чтобы те смогли предпринять превентивные меры для поддержания оптимальной эффективности и прибыльности производственного процесса. Компактный модульный и универсальный Altivar Process подходит для любых задач, прост в установке и интеграции, будь то новое, модернизированное или обновленное оборудование. Altivar Process разработан для критически важных применений и спроектирован так, чтобы интегрировать производственный процесс, энергетическую систему и установку в единое полное решение, снижающее требования по техническому обслуживанию и общие эксплуатационные расходы. Его компактность, модульная конструкция и легкий вес компонентов сокращают количество запасных частей и время ремонта (установка вентиляторов системы охлаждения занимает 5 мин). Для еще большего упрощения технического обслуживания, поиска и устранения неисправностей, а также уменьшения периода простоя и связанных с ним расходов операторы могут получить доступ к технической документации, службе поддержки

и диагностической информации непосредственно со своих мобильных устройств. Сообщения об ошибках также генерируют динамические QRкоды для мгновенного доступа к исчерпывающей информации, чтобы эффективно разрешать проблемы на месте. В то же время QR-коды, напечатанные на приводах, обеспечивают мгновенный доступ к службам поддержки клиентов и онлайнсистемам поиска и устранения неполадок. Даже по окончании срока службы преобразователь частоты Altivar Process остается экологичным решением, поскольку более 70% его деталей могут быть переработаны и использованы вторично. На Altivar Process есть знак “Green Premium”, что означает соответствие всем требованиям европейской директивы RoHS и регламента REACH. www.schneider-electric.ru

Реклама

Компания Schneider Electric представляет Altivar Process — линейку преобразователей частоты для электродвигателей мощностью от 0,75 кВт до 1,5 МВт. Это первый на рынке преобразователь частоты со встроенными интеллектуальными сервисами. Благодаря конвергенции информационных и операционных технологий преобразователи частоты Altivar Process обеспечивают дополнительные возможности в таких отраслях, как водоснабжение и водоотведение, нефтегазовая, горнодобывающая, минерально-сырьевая и металлургическая отрасли, производство продуктов питания. Передовая система мониторинга, которой оснащены устройства Altivar Process, эффективно обеспечивает непрерывную работу всего оборудования. В сравнении с обычными преобразователями частоты Altivar Process позволяет дополнительно сократить расходы на 8% из-за способности мгновенно определять отклонения в показателях эффективности и осуществлять контроль за энергопотреблением с высокой точностью (< 5%). Таким образом, при необходимости система автоматизации немедленно вносит коррективы, сводя к минимуму негативное воздействие. К тому же приборы линейки Altivar Process сокращают время простоев еще на 20% благодаря не только прогнозируемому техническому обслуживанию оборудования в зависимости от его состояния, но и инновационному пользовательскому интерфейсу с простыми для понимания графиками. Полностью интегрируя архитектуру и бизнес-системы, Altivar Process поддерживает прозрачность производственного процесса и открытый доступ

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


силовая электроника

95

Механизмы отказов MOSFET в мостовых импульсных источниках питания с переключениями при нулевом напряжении (ZVS)

Александр Файел (Alexander Fiel) Томас Ву (Thomas Wu)

Введение Однако для работы силового MOSFET в ZVS-режиме необходимо, чтобы при его включении внутренний диод находился в проводящем состоянии. Из-за чрезвычайно низкого обратного напряжения может оказаться, что не все заряды будут удалены из диода прежде, чем MOSFET начнет закрываться. Диод, еще не до конца восстановивший свою способность выдерживать запирающее обратное напряжение, может быть неустойчивым к воздействию, вызванному высокой скоростью нарастания напряжения dv/dt на транзисторе. Кроме того, невозможность поддержания ZVS-режима работы при малой нагрузке приводит к жесткому коммутационному процессу при выключении открытого MOSFET. Как и в мостовой топологии с жесткой коммутацией, сквозной ток Cdv/dt, обусловленный перезарядом внутренних емкостей, способен привести к броску напряжения на затворе закрытого MOSFET в том же самом плече моста и выходу транзисторов из строя. В настоящей статье представлено несколько технологических особенностей изготовления MOSFET, направленных на устранение их отказов в ZVS-преобразователях по вышеупомянутым причинам. Малое время восстановления и устойчивость к dv/dt делают MOSFET-ключи, выполненные по новой технологии, пригодными для использования

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

В связи с экспоненциальным ростом спроса на мощное телекоммуникационное и серверное оборудование ежегодно повышаются требования к плотности мощности его источников питания. Увеличение плотности мощности достигается за счет снижения числа компонентов в схеме, уменьшения габаритных размеров реактивных компонентов и/или возрастания эффективности системы. Переход на более высокие частоты переключения способствует уменьшению габаритов реактивных компонентов и фильтров. Для улучшения эффективности, что в свою очередь позволяет уменьшить размеры теплоотводов или сократить количество параллельно включенных силовых ключей, необходимо снижать потери на проводимость и/или на переключение. В связи с этим все более популярными становятся мостовые схемы с фазовым сдвигом и переключениями при нулевом напряжении (ZVS), имеющие даже на повышенных рабочих частотах чрезвычайно низкие потери на переключение.

в мостовых ZVS-преобразователях, работающих на повышенных частотах. Благодаря чрезвычайно высокой плотности кремния и малому заряду затвора новые MOSFET позволяют разработать следующее поколение импульсных источников питания для телекоммуникационного и серверного оборудования, характеризующееся более высокой плотностью мощности при меньшем числе компонентов и тех же самых или даже лучших рабочих характеристиках. Потребность в источниках питания с большей плотностью мощности, особенно в мощном телекоммуникационном и серверном оборудовании, заставляет производителей силовой электроники придумывать более совершенные топологии преобразователей и создавать силовые полупроводниковые приборы с улучшенными характеристиками. Основные усилия направлены на увеличение плотности мощности и повышение надежности силовых приборов. Увеличение плотности мощности с нынешних 5–7 до 10 Вт/дюйм 3 при неизменном форм-факторе — невероятно сложная инженерная задача. Одно из возможных решений данной проблемы — топология с переключениями при нулевом напряжении (Zero-VoltageSwitching — ZVS) с фазовым сдвигом [1]. Преимущества работы в ZVS-режиме: минимальные потери на переключение, более высокая частота переключений, меньшие

динамические воздействия, связанные с dv/dt и di/dt. Снижение потерь на переключение позволяет работать на более высоких частотах и применять меньшие по габаритам теплоотводящие радиаторы. Кроме того, при более высоких частотах можно использовать более миниатюрные реактивные и фильтрующие компоненты, что в результате приводит к увеличению плотности мощности. Меньшие динамические воздействия означают более высокую надежность силовых приборов. Тем не менее недавно появились сообщения об отказах силовых MOSFET в мостовой ZVS-топологии [2, 3]. Предполагается, что основной причиной их выхода из строя является медленный процесс обратного восстановления внутреннего диода при низких обратных напряжениях. Одно из решений — блокировка на время обратного восстановления силовых MOSFET, но платой за это будет более высокая себестоимость. Другой вариант — замысловатая цепь из включенных параллельно быстро восстанавливающихся диодов, назначение которой — создать альтернативный путь для тока, текущего при обычной конфигурации через внутренний диод MOSFET-ключа [3, 4]. Все же самым лучшим решением было бы ускорить процесс обратного восстановления внутреннего диода [2]. Также сообщается об эксплуатационных отказах в ZVS-схемах в случае малой нагрузки или при ее отсутствии. Невозможность www.kite.ru


96

силовая электроника

обеспечить переключение при нулевом напряжении приводит в этих условиях к возникновению жесткой коммутации при включении и выключении силовых MOSFET. Вероятный механизм отказа при такой работе связан с броском напряжения из-за сквозного тока Cdv/dt [5]. В данной статье приводится подробный анализ проблемы, вызванной Cdv/dt, вместе с системным решением и решением через изменение полупроводниковой технологии. Углубленный анализ механизмов отказа при работе в ZVS-режиме привел к созданию нового поколения MOSFET с быстродействующим внутренним диодом и уменьшенным временем обратного восстановления. В новых транзисторах минимизированы выбросы, связанные с Cdv/dt; они выдерживают более высокие значения dv/dt и поэтому подходят для работы в мощных высокочастотных ZVSсхемах с фазовым сдвигом.

Механизмы отказов MOSFET в ZVS-схемах Схема преобразователя, выполненного по мостовой ZVSтопологии, приведена на рис. 1: • D1–D4 — это диоды, встроенные в кристаллы силовых MOSFETключей Q1–Q4. • Конденсаторы C1–C4 представляют собой либо выходные емкости силовых MOSFET, либо — если требуется большая емкость — внешние конденсаторы. • Дроссель L или Lr — это либо индуктивность рассеяния трансформатора, либо внешняя индуктивность. Подробно работа мостовой ZVS-схемы описана и проанализирована в [1]. На рис. 2 приведены типичные осциллограммы действующего на частоте 110 кГц мостового ZVS-преобразователя мощностью 1 кВт. Заметим, что на осциллограмме напряжения на стоке транзистора не видно никаких выбросов, которые часто наблюдаются в мостовой конфигурации с жесткой коммутацией. На рис. 3 приведены упрощенные осциллограммы напряжения и тока для транзистора Q3. В момент времени t0 транзистор Q1 закрывается, и начинается ZVS-включение транзистора Q3. Резонансная цепочка C1, C2 и L или Lr заставляет C3 разрядиться до нулевого напряжения. В момент t1 внутренний диод D3 будет проводить ток, и транзистор Q3 можно включать в любое время в интервале от t1 до t2. Чтобы заставить ток изменить направление, в момент t2 закрывают Q2, а Q4 — открывают. С момента t3 начинается обратное восстановление внутреннего диода D3. Если к моменту времени t5, когда Q3 закрывается, процесс обратного восстановления не завершится, то D3 не сможет блокировать обратное напряжение, что приведет к выходу MOSFET из строя. Механизм отказа при полной нагрузке Некоторые механизмы отказов MOSFET внутренне присущи мостовым ZVS-преобразователям. Силовой MOSFET в мостовой ZVSтопологии с фазовым сдвигом открывается при нулевом напряжении, поэтому необходимо, чтобы внутренний диод сначала находился в проводящем состоянии и фиксировал напряжение между стоком и истоком на уровне 0,7 В. Во время обратного восстановления диода сток транзистора не подвержен воздействию динамических бросков напряжения. Но при малой нагрузке и низком напряжении диоду понадобится больше времени для рассасывания зарядов в дрейфовой области и создания обедненной зоны. Таким образом, если приложенное напряжение становится меньше, то время обратного восстановления (trr) внутреннего диода увеличивается. Влияние напряжения на процесс обратного восстановления внутреннего диода стандартного 500‑В силового MOSFET иллюстрирует рис. 4. Видно, что в случае традиционного силового MOSFET время восстановления увеличивается при снижении приложенного обратного напряжения. В таблице 1 время trr при обратном напряжении 1 В оценивается величиной 3,73 мкс, что сравнимо с временем нахождения MOSFET в открытом состоянии (3,6 мкс на рис. 3). В данном случае предполагается, что через внутренний диод протекает весь

Рис. 1. Мостовой ZVS-преобразователь с фазовым сдвигом

Рис. 2. Осциллограммы для мостовой ZVS-схемы с фазовым сдвигом

Рис. 3. Упрощенные осциллограммы для транзистора Q3

ток. Разумеется, время обратного восстановления зависит от прямого тока, di/dt и температуры перехода. Поскольку на затвор MOSFET отпирающее напряжение может быть подано в любое время до мо-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


силовая электроника

Рис. 4. Влияние обратного напряжения на процесс обратного восстановления

Таблица 1. Зависимость времени обратного восстановления от напряжения для стандартного 500‑В MOSFET при 100 А/мкс Обратное напряжение, В

trr, мкс

Комментарии

1

3,73

Оценочная величина Измерение

20

1,09

100

0,57

Измерение

400

0,32

Измерение

мента t2 (рис. 3), то, по всей видимости, через внутренний диод будет протекать не весь ток. Однако на рис. 3 отчетливо видно, что внутренний диод транзистора Q3 должен завершить обратное восстановление до момента t = t5. В противном случае, если диод D3 еще не полностью восстановится, то он, вероятно, не сможет блокировать обратное напряжение. Если в области перехода все еще будут оставаться заряды и при этом начнет открываться транзистор Q1, то из-за возникающего роста напря-

Рис. 5. Поперечное сечение стандартного MOSFET и путь протекания тока при обратном восстановлении

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

97

жения со скоростью dv/dt может открыться паразитный плоскостной биполярный транзистор (Bipolar Junction Transistor — BJT), что способно привести к разрушению силового MOSFET. Во время процесса обратного восстановления диода отказ транзистора может быть обусловлен двумя причинами [5, 6]. Первая связана с максимальным током обратного восстановления (IRRM). Этот ток течет из дрейфовой зоны в область p+. Из-за горизонтальной составляющей тока IRRM на сопротивлении области p+ (Rb) возникает падение напряжения, что может привести к отпиранию паразитного BJT-транзистора. Во‑вторых, при выключении транзистора изменяющееся со скоростью dv/dt напряжение может вызвать протекание тока через емкость сток-база Cdb и сопротивление Rb также с последующим отпиранием паразитного BJT. Пути протекания этих связанных с процессом обратного восстановления токов показаны на рис. 5. Все вышеупомянутые проблемы удается решить с помощью нового поколения силовых MOSFET со следующими характеристиками: • малое время обратного восстановления внутреннего диода, особенно при низких обратных напряжениях; • малый заряд обратного восстановления внутреннего диода; • более высокая прочность, чтобы уменьшить возможность вторичного пробоя. Механизм отказа при малой нагрузке и при отсутствии нагрузки В мостовой ZVS-топологии имеется еще один механизм возникновения отказов, проявляющийся в условиях малой нагрузки или при ее отсутствии. Для работы схемы в ZVS-режиме необходимо, чтобы энергии, запасенной в индуктивности L или Lr, было достаточно для обнуления напряжения на конденсаторах C1 и C3 при выключении транзистора Q1 в момент t0:

При малом или нулевом токе нагрузки конденсатор C3 в момент t 1 не разрядится до нулевого напряжения. А внутренний диод D3 не будет проводить ток перед включением транзистора Q3. На рис. 6 приведены снятые осциллограммы напряжения и тока транзистора Q3 в мостовой ZVS-топологии в условиях малой нагрузки. Обратите внимание, что небольшой пик напряжения на затворе соответствует резкому изменению напряжения на стоке. Осциллограмма тока на рис. 6 свидетельствует, что транзистор Q3 открывается повторно в то время, когда он должен быть закрыт. Это явление известно как проблема вызванного перезарядом внутренних емкостей

Рис. 6. Осциллограммы для ZVS-топологии при малой нагрузке

www.kite.ru


силовая электроника

98

Рис. 7. Эквивалентная схема, показывающая «прострел» Cdv/dt

«сквозняка», или «прострела» (shoot-through Cdv/dt), при большой величине dv/dt, когда оба транзистора Q1 и Q3 открыты одновременно, что приводит к короткому замыканию в образованном этими транзисторами плече моста (рис. 7). Разработка нового поколения силовых MOSFET со следующими характеристиками увеличит устойчивость к Cdv/dt и снизит вероятность «прострела» при работе ZVS-схемы с малой нагрузкой или при отсутствии таковой: • более низкая величина отношения Qgd /Qgs; • меньшее значение внутреннего сопротивления Rg; • более высокое пороговое напряжение.

Новые силовые MOSFET для ZVS-схем Авторы глубоко изучили потенциальные проблемы силовых MOSFET, предназначенных для работы в мостовых ZVS-схемах. Силовому MOSFET в ZVS-преобразователе необходим быстродействующий внутренний диод, который должен характеризоваться малым зарядом обратного восстановления. Процесс обратного восстановления внутреннего диода должен происходить быстро, особенно при низких обратных напряжениях. На рис. 8 сравниваются характеристики обратного восстановления внутренних диодов различных MOSFET-ключей. Значения параметров сравниваемых приборов — время обратного восстановления (trr), заряд (Qrr) и максимальный обратный ток (IRRM) — приведены в таблице 2. В таблице 3 приведены сравнительные данные по суммарному заряду затвора (Qg), заряду затвор-исток (Qgs) и заряду затвор-сток, или заряду Миллера (Qgd). Общий заряд и заряд Миллера в транзисторе IRFPS40N50L в корпусе Super247 — самые низкие в своем классе приборов. Чрезвычайно малый заряд в затворной области приборов серии L значительно упрощает разработку схем драйверов. Таблица 2. Сравнение характеристик обратного восстановления внутреннего диода (IF = 20 А, di/dt = 82 А/мкс, VR = 25 В, T = +25 °C) Прибор

trr, нс

Qrr, мкКл

IRRM, А

Стандартный

1050

11,91

26

Компании A

205

1,26

10

IRFPS40N50L

147

0,69

8

Таблица 3. Сравнение зарядов в области затвора (ID = 25 А, VDS = 400 В, VGS = 10 В, T = +25 °C)

Рис. 8. Сравнение обратного восстановления внутренних диодов

Как обсуждалось выше, в ZVS-преобразователе заряды из внутренннего диода MOSFET-ключа должны быть удалены до того, как этот транзистор начнет выключаться. В противном случае, если восстановление диода еще не завершилось, происходящий при выключении MOSFET быстрый рост напряжения (dv/dt) может инициировать отпирание паразитного биполярного транзистора (BJT) и привести к вторичному пробою прибора. Проблема будет усугубляться с ростом рабочей частоты преобразователя, поскольку при этом у внутреннего диода будет меньше времени для удаления и рекомбинации зарядов. Следовательно, внутренний диод должен быть способен выдерживать воздействие dv/dt, не создавая условий для отпирания паразитного биполярного транзистора (BJT). В этом отношении структура IRFPS40N50L была успешно оптимизирована, причем оптимизация не оказала отрицательного влияния на другие важные рабочие параметры прибора. Значение допустимой величины dv/dt для внутреннего диода приведено в таблице 4. Таким образом, Таблица 4. Сравнение dv/dt внутренних диодов Прибор

dv/dt внутреннего диода, В/нс

Компании A IRFPS40N50L

5 22

приборы серии L будут существенно менее склонны к вторичному пробою при их использовании в ZVS-преобразователях. В таблице 5 приведены результаты испытаний двух типов транзисторов, которые работали в составе одного и того же 3‑кВт ZVS-преобразователя с частотой переключений 100 кГц. Транзистор компании A выполнен на кристалле, размеры которого на 60% больше размеров кристалла транзистора IRFPS40N50L. Однако суммарная мощность потерь у этого прибора всего на 5% меньше мощности потерь в IRFPS40N60L. Таблица 5. Сравнение мощности потерь разных транзисторов при их использовании в одной и той же схеме Приборы

Компании A

IRFPS40N50L

Относительный размер кристалла

1,6

1

Типичное значение RDS(ON) при +25 °C, мОм

77

82

Потери Qg,Вт

0,502

0,441

Прибор

Qg, нКл

Qgs, нКл

Qgd, нКл

Потери на внутреннем диоде, Вт

0,114

0,121

Компании A

420

55

215

Общие потери на проводимость при +80 °C, Вт

5,264

5,604

IRFPS40N50L

290

66

110

Суммарные потери в приборе при +80 °C, Вт

5,765

6,046

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


силовая электроника

99

к dv/dt величиной в 22 В/нс свидетельствует о способности прибора выдерживать резкий рост напряжения при выключении транзистора. Показано, что уменьшение величины отношения Qgd к Qgs вместе со снижением внутреннего сопротивления Rg в MOSFET серии L повысило их устойчивость к емкостному току Cdv/dt. Это практически исключило возникновение эффекта «прострела» (короткого замыкания в плече моста) при использовании таких транзисторов в мостовых ZVS-преобразователях с фазовым сдвигом в условиях малой нагрузки или без нагрузки. Результаты измерений показывают, что силовые MOSFET серии L хорошо подходят для применения в высоковольтных мостовых ZVS-преобразователях высокой мощности, позволяя им работать на более высоких частотах. n

Литература

Рис. 9. Минимизация броска напряжения на затворе (проблема Cdv/dt) технологическими средствами

Таблица 6. Величина броска напряжения на затворе транзисторов, выполненных по разным технологиям Прибор

Бросок напряжения, вызванный Cdv/dt, В

Qgd/Qgs

IRFP450A

4

1,7

Rg, Ом 1,04

Технология A

3,8

1,95

1,03

Технология B

1,9

1,47

0,62

В то же время решить проблему отказа, вызываемого емкостным током Cdv/dt, можно уменьшением соотношения Qgd/Qds [7] и снижением внутреннего сопротивления затвора. Новые технологические приемы и процессы, используемые при изготовлении силовых MOSFET серии L, позволили существенно минимизировать бросок напряжения на затворе и тем самым увеличить устойчивость этих приборов. На рис. 9 приведены осциллограммы, снятые на транзисторах, выполненных по трем разным технологиям. Из приведенных в таблице 6 данных видно, что технология B позволяет уменьшить отношение Qgd/Qds и внутреннее сопротивление Rg и добиться снижения броска напряжения на затворе, связанного с Cdv/dt, на 50%. Уменьшение броска напряжения на затворе сокращает вероятность отпирания MOSFET и протекания практически ничем не ограниченного тока короткого замыкания в одном из плеч мостовой схемы. В новых силовых MOSFET серии L используется технология, подобная технологии B. Поэтому применение данных приборов в ZVS-преобразователях с фазовым сдвигом может существенно снизить остроту проблемы Cdv/dt в условиях работы с малой нагрузкой или без нагрузки.

1. Jovanovic M. M. et al. Zero-Voltage-Switching Technique In High-Frequency Off-Line Converters. IEEE Proceedings of Applied Power Electronics Conference. 1988. 2. Saro L., Redl R., Dierberger K. High-Voltage MOSFET Behavior in SoftSwitching Converter: Analysis and Reliability Improvements. International Tel-communication Conference, San Francisco, 1998. 3. Aigner H. et al. Improving the Full-Bridge Phase-shift ZVT Converter for Failure-Free Operation Under Extreme Conditions in Welding and Similar Applications. IEEE proceedings of Industrial Applications Society Annual Meeting, St. Louis, 1998. 4. Grant D. HEXFET III: A New Generation of Power MOSFETs. International Rectifier Application Note 966A. 5. Blackburn D. L. Turn-Off Failure on Power MOSFETs // Power Electronics Specialists Conference Records. 1985. 6. Wu T. et al. Dynamic Stresses Might Cause Power MOSFET Failure // PCIM Magazine, April, 2000. 7. International Rectifier IRF7809/IRF7811 data sheet.

В статье было исследовано новое семейство более надежных MOSFET серии L с низким сопротивлением в открытом состоянии и быстро восстанавливающимся внутренним диодом при их работе в мостовом ZVS-преобразователе с фазовым сдвигом. Низкое сопротивление в открытом состоянии обусловливает меньшие потери на проводимость, поэтому при прочих равных условиях температура перехода у этих транзисторов будет ниже. Кроме того, малые потери на проводимость позволяют обеспечить требуемый рабочий ток меньшим числом параллельно включенных транзисторов. Малое время обратного восстановления внутреннего диода (<250 нс, макс.) гарантирует, что заряды из него будут выведены прежде, чем MOSFET начнет закрываться, что особенно актуально в случае малых напряжений на стоке и высоких рабочих частот. Устойчивость IRFPS40N50L

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Реклама

Заключение

www.kite.ru


Реклама


встраиваемые системы

101

Применение реинжиниринга при проектировании встраиваемых систем

Анна Сергеева annserge@rambler.ru

Введение Когда разработчики встраиваемых систем приступают к созданию очередного нового проекта, то обычно начинают с внимательного изучения задания и анализа того, каким же должен быть конечный продукт, какими функциональными и аппаратными возможностями он должен обладать и с помощью каких средств это можно реализовать на деле. Помимо этого, в распоряжение разработчикам попадает ряд референсных дизайнов и/или образцовых проектов (ОП), которые изготовители микросхем прилагают к своим стартовым наборам. К тому же всегда есть возможность закачать со специализированных сетевых ресурсов различные примеры кода для тех или иных операционных систем (ОС), готовые функциональные модули и библиотеки и прочее. И попробовать применить их к конкретной разрабатываемой системе. Таким образом, по результатам серии проведенных экспериментов можно набрать множество фрагментов весьма разнородного кода, полезных в текущей разработке. Также при разработке встраиваемых устройств часто бывает, что к реализации одной, скорее всего, специализированной ОС необходимо внести стек протоколов для какого-нибудь интерфейса от совершенно другого производителя или ОС. И в распоряжении разработчика изначально есть описание этого интерфейса, но только на стороннем языке, поэтому требуется его адаптация к виду, приемлемому для данного устройства. Сегодня встроенная система, с одной стороны, это микропроцессор с его образцо-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Автор продолжает серию статей с описанием удобных методик и подходящих инструментальных средств, которые позволяют оптимизировать процесс проектирования программ и аппаратуры для современных встраиваемых устройств. Предлагается способ разработки новых систем с частичным использованием ранее написанного исходного кода (применение реинжиниринга). Рассматривается методика преобразования программного кода, которая подходит как для работы с приложениями высокого уровня, так и с файлами описания аппаратуры. Приводятся практические примеры работы с программным инструментарием системы реинжиниринга DMS, которая достаточно универсальна для поддержки нестандартных языков кодирования и нестандартных сред исполнения, что важно при проектировании встраиваемых устройств.

вым проектом, чей код написан на языке C, а также ПЛИС с описанием на языке VHDL, SystemC или Verilog. А с другой стороны, встроенная система — это зачастую вебсервер, позволяющий менять рабочие настройки в удаленном режиме, плюс небольшая база данных, в которой хранится собранная информация по параметрам, датчикам и исполнительным механизмам системы. Все это означает, что важная часть кода системы реализуется и на HTML, SQL, PHP и на других прикладных языках. Словом, в результате сбора исходных данных по проекту разработчики получают весьма большой объем разнородных файлов, код которых написан на самых разных языках. И здесь возникает такая проблема: все это многообразие нужно привести к общему виду, унифицировать код, частично перевести с одних языков на другие, адаптировать к особенностям конкретных специализированных ОС, из всего этого сделать базовое исполнение своего проекта и к тому же внести модификации под собственные потребности разрабатываемой системы. Так каким же образом достаточно быстро разобраться со всей этой кучей раздобытых из разных источников примеров и сконвертировать многие исходные файлы кода в тот формат и на те языки, которые требуются в проекте? Автор продолжает исследование и описание удобных методик и подходящих инструментальных средств, позволяющих оптимизировать процесс проектирования программ и аппаратуры для современных встраиваемых устройств [1, 2]. В данной статье при-

водится способ разработки новых систем с частичным использованием ранее написанного исходного кода. Этот подход называется реинжинирингом. Рассматривается методика преобразования программного кода, которая подходит как для работы с приложениями высокого уровня (различные действия в веб-интерфейсах, манипуляции с файлами, обращения к базам данных и т. д.), так и для работы с файлами описания аппаратуры (спецификации на низкоуровневых языках типа VHDL). Приводятся практические примеры работы с программным инструментарием системы реинжиниринга DMS, достаточно универсальной для поддержки нестандартных языков кодирования и нестандартных сред исполнения, что важно при проектировании встраиваемых устройств.

Пути разработки встраиваемых систем Существует два варианта развития событий. В первом случае вся архитектура системы и весь ее программный код полностью создается с нуля. Однако при этом следует принимать во внимание объем работы. Возникает необходимость самостоятельно сформулировать и формализовать полный перечень задач, поставленных перед разработчиками. Затем полностью создать весь программный код с нуля и обеспечить полное покрытие всех функциональных возможностей системы. Далее провести тщательное тестирование всего написанного программного кода, в полном объеме. И наконец, сформировать www.kite.ru


102

встраиваемые системы

и самостоятельно описать перечень всей сопроводительной документации. Есть руководители проектов, которые отдают предпочтение именно этому подходу и успешно применяют его на деле. Но есть и другие руководители проектов, которые не находят этот вариант целесообразным и идут по другому пути. В этом случае для реализации новых функциональных возможностей создаваемых систем дорабатывается уже имеющееся в эксплуатации прикладное и низкоуровневое программное обеспечение. Такой подход называется реинжинирингом систем. Прежде чем начать разработку новой системы, необходимо выполнить тщательное документирование наследуемой системы или тех частей нескольких систем, которые будут унаследованы. На основе анализа имеющейся информации о наследуемой системе составляется ее модель. Причем функциональные возможности, реализованные в наследуемой системе, определяют часть требований к проектируемой (и надо сказать, в большинстве случаев это достаточно значительная часть) [3]. При таком подходе, разумеется, также выполняется довольно большой объем работ. Однако применение реинжиниринга позволяет перевести сам процесс разработки и поддержки готовых систем на новый качественный уровень и обеспечить возможность масштабирования таких систем. Здесь важно принимать во внимание следующие моменты: • Проведение инжиниринга. Это довольно объемная работа, но ее выполнение, по сути, не так уж сложно. • Затраты на обоснование требований к системе и их анализ. Возрастающий объем дополнительных знаний, собранных об исследуемой системе, приводит к необходимости их группирования в домены. • Формируемые домены должны обладать достаточной гибкостью, позволяющей сделать процесс определения доменов и их диалектов быстрым. Это существенно, поскольку, как правило, код приложений бывает написан на нескольких разных языках. • Поскольку компьютерам свойственна медленная обработка символьных вычислений, нужно ее распараллеливать. Используя специальные инструменты, можно провести качественный анализ ситуации и внести улучшения. • Устаревшие системы слишком громоздки (порядка десятков тысяч файлов исходного кода). При обновлении системы можно добиться оптимизации объема используемого исходного кода. • Для экономии задействованного рабочего пространства необходима тщательная проработка модели системы. А значит, нужно обеспечить устойчивую и достоверную технологию синтаксического анализа (парсинга), универсальную для произвольных языков кодирования.

• Среди других моментов: возможность учета различных версий программных продуктов, работа в условиях большого штата команды разработчиков, гарантия предоставления долгосрочных обязательств по поддержке поставляемых продуктов. Цели реинжиниринга При проведении реинжиниринга важно получить представление о структуре и наполнении существующей системы, построить ее модель, решить, какие фрагменты программного кода могут быть использованы в наследуемой системе, а также определить характер и объем наследуемых данных. Задачи реинжиниринга В процессе реинжиниринга необходимо определить архитектуру и логическую структуру существующей системы, спектр функциональных возможностей и целевую аудиторию системы, провести восстановление реляционной модели данных. Этапы реинжиниринга Процесс реинжиниринга, с учетом его применения для встраиваемых систем, можно разделить на несколько этапов: • Сбор данных. В начале процесса следует определиться с тем объемом информации по уже существующим реализациям, который удалось получить в распоряжение до начала работ (исходные описания, тесты, базы данных и т. д.). При этом текущее состояние наследуемой системы фиксируется, и все изменения, которые могут быть в нее внесены после этого момента, в ходе реинжиниринга уже учитываться не будут. • Определение системных архитектур. Здесь определяется перечень и структура всего базового оборудования и программной платформы, что необходимо для инсталляции и запуска наследуемой зафиксированной системы. Фактически так определяются архитектуры аппаратной и программной платформ, баз данных, телекоммуникаций. • Автоматический реинжиниринг. На этом этапе на помощь приходят специализированные инструментальные средства визуального моделирования. Строятся модели, которые могут быть приняты в качестве исходных. Подвергаются автоматическому реинжинирингу и базы данных, и бизнеслогика (если есть соответствующие исходные коды на объектно-базированном или объектно-ориентированном языке), и описания разрабатываемой аппаратуры, и т. д. • Редактирование диаграмм моделей. Полученные на предыдущем этапе, автоматически созданные модели читать и анализировать достаточно затруднительно, поэтому их необходимо отредактировать для большей наглядности и удобства восприятия.

• Построение функциональных моделей. Функциональные модели строятся на основе работающей наследуемой системы и проводимых с ней экспериментов. Здесь требуется достаточно точно учитывать варианты использования системы, допустимые действия и их последовательность. Все эти сведения помогут разработчикам более точно понимать функциональные возможности системы. • Определение видов и характера взаимодействий системы. Необходимо описать, кто будет использовать систему, какие задачи она должна решать и какие функции выполнять для разных групп пользователей. Также нужно учесть, с какими программами или с каким внешним оборудованием осуществляет взаимодействие система. Определить, выполнение каких работ носит регулярный, периодический или условный характер. • Детализация функциональных возможностей. На основе имеющихся моделей наследуемой системы и с учетом полученных данных о видах и характере взаимодействий системы выполняется детализация функциональных возможностей. Модели, полученные в результате проведения реинжиниринга, служат хорошей основой для определения требований к новой проектируемой системе. Также они являются базой для построения функциональной и логической моделей новой системы.

Инструментальные средства автоматизации реинжиниринга Среди существующих инструментальных средств, предназначенных для автоматизации проведения реинжиниринга на различных его этапах, широко востребованы средства автоматизации преобразования исходного кода как для прикладных, так и для низкоуровневых программ. С их помощью автоматически выполняется переход от устаревшей платформы, на которой была разработана система, к более усовершенствованной и подходящей для реализации новых функциональных возможностей в конкретной проектируемой системе. Осуществляется перевод кода исходных файлов с одного языка на другой, а также переход с одной версии ОС на другую. Здесь следует напомнить, что основной особенностью реализации встраиваемых систем является, как правило, применение нестандартных или интерпретируемых языков кодирования или же нестандартных сред исполнения. Ведь именно так реализовано огромное число широко востребованных программ. Ввиду большого числа нюансов и деталей, характерных для нестандартных сред и языков, обычные универсальные средства автоматического перевода и анализа кода перестают здесь работать. Так что подобрать подходящий инструмент для получения до-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


встраиваемые системы

стоверного результата зачастую бывает весьма затруднительно. Нередко возникают задачи перевода кода файлов аппаратного описания проектов с VHDL на Verilog или обратно. Но в любом случае в данной статье разбирается общий подход к переводу кода с одного языка на другой, который подходит и может быть применен к адаптации как прикладных, так и «железных» частей разрабатываемой системы. Для того чтобы лучше разобраться в данном вопросе, нужно получить некоторое представление о принципах работы и организации систем автоматического преобразования программного кода.

Системы преобразования кода, имеющие промышленное применение К системам преобразования кода, имеющим промышленное применение, предъявляются следующие требования: • Для используемых на практике языков программирования и описания данных обеспечивается поддержка определений этих языков. • Предоставляется поддержка правил переноса кода для таких языков. • Поддерживается возможность достоверного применения этих правил к исходному коду. • Осуществляется поддержка работы таких систем преобразования кода на востребованных потребителем аппаратных и программных платформах (в том числе на платформах, используемых для встраиваемых программных систем). Также следует учитывать особенности реализации используемых программных компиляторов. Многие инструментальные средства компиляции (например, YACC) применяют синтаксические анализаторы (парсеры) с алгоритмами типа LL(1) или LALR(1), которые работают только с очень ограниченным числом языков. Для обхода таких ограничений большинство компиляторов и инструментов имеют, как правило, специально модифицированные парсеры. Это означает, что для широкого диапазона языков программирования сама по себе инфраструктура компилятора не является подходящей. Таким образом, возникает необходимость получить полностью независимый от контекста механизм парсинга кода. На рынке современных программных средств представлен небольшой ряд подобных систем, среди них можно назвать такие, как REFINE (коммерческий продукт от компании Reasoning Systems [4]), Stratego/XT 0.9 (исследовательский инструмент от Stratego [5]), DMS (коммерческий продукт от компании Semantic Designs [6]). Далее в статье основное внимание будет уделяться системе DMS Software Reengineering Toolkit, которая, по мнению автора, по своей специфике больше всего подходит для реинжиниринга аппаратуры

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

103

и программ, разрабатываемых для встраиваемых систем, и ориентирована на работу, в первую очередь, именно с ними. Основные отличия систем преобразования кода, имеющих промышленное применение, от инструментальных средств компиляции: • конфигурируемость и высокая надежность используемой технологии синтаксического анализа (парсинга); • интеграция этой технологии с шаблонными языками, используемыми для перезаписи исходного кода; • возможность подробного восстановления кода исходных программ из структуры абстрактных синтаксических деревьев, полученных в результате преобразования. Эти функциональные возможности используются для полномасштабного реинжиниринга систем (например, портирование кода), анализа качества программного кода и его улучшения (например, обнаружение и удаление клонов кода), обратного инжиниринга и других целей, в частности для осуществления долгосрочной поддержки разрабатываемого проекта. Для практического применения таких систем преобразования в первую очередь должен быть определен синтаксис используемых языков. Поскольку конфигурировать эти инструменты достаточно удобно, гораздо легче производить их настройку, чем заниматься дополнительным построением внешних интерфейсов компиляторов. К тому же эти системы зачастую совместимы с предопределенными языковыми модулями большинства языков, таких как C, C++, Java, VHDL и т. д.

и зависит от переменных, использованных в шаблоне LHS. Эти правила интерпретируются так: если конкретная часть исследуемой программы соответствует шаблону LHS, то заменить его на шаблон RHS, если соблюдается указанное условие. Условие может содержать некоторые дополнительные ограничения или же вызывать дополнительную процедуру, по которой принимается решение о соблюдении условия. Настоящие системы преобразования кода содержат ряд более сложных правил, базирующихся на этом примитивном примере. И именно таким образом в них реализуется возможность спецификации всех деталей описываемых шаблонов. Приведем пример. Вот как в системе преобразования DMS выглядит упрощенный пример конвертации оператора присваивания в оператор автоинкремента на языке C (листинг 1). Практический смысл этого действия заключается в оптимизации кода за счет использования более быстрых одноместных операций. Правило записано с помощью синтаксиса внутреннего языка записи правил системы DMS.

Механизм преобразования кода Следует уточнить, что преобразование программного кода (так называемые правила перезаписи кода) используется для модификации программ непосредственно в терминах синтаксиса языков программирования. Такая перезапись, как правило, регламентируется в терминах абстрактной или конкретной выбранной грамматики языка и применяется ко всем формируемым абстрактным синтаксическим деревьям. (Все же другие программные преобразования могут быть реализованы уже с помощью процедурного кода или комбинации из нескольких поэтапных преобразований кода.) Итак, типичное правило перезаписи кода имеет следующий общий вид:

В данном примере определено правило (rule) с именем use-auto-increment и синтаксической переменной v синтаксического класса lvalue. По этому правилу выполняется преобразование выражения statement, записанного на языке C. Текст, заключенный в кавычки, соответствует допустимому исходному коду на языке C. Здесь используется регулярное выражение, в котором экранированный символ v (то есть сочетание \v) используется для указания переменной. Таким образом, правило становится универсальным и подходит для произвольных допустимых переменных исходного кода на языке C. Первая приведенная строка, заключенная в кавычки, соответствует левой стороне правила, LHS, а вторая — правой стороне правила, RHS. Выражение LHS действительно для любой допустимой переменной типа lvalue языка C. RHS же соответствует любому допустимому выражению, добавляющему единицу к переменной типа lvalue. Для однозначного толкования правила (во избежание соответствия исходного и целевого шаблонов) в выражении LHS одна и та же синтаксическая переменная исполь-

LHS→RHS if <условие>

Здесь и LHS (left hand side, левая сторона правила), и RHS (right hand side, правая сторона правила) представляют шаблоны исходного языка, которые соответствуют произвольным подстрокам того или иного формализованного языка. Условие, включаемое по оператору if, является необязательной составляющей

default base domain C; //имя домена rule use-auto-increment (v: lvalue): statement -> statement = “\v = \v +1” //синтаксис домена (LHS) rewrites to “\v++” //синтаксис домена (RHS) if no_side_effects(v); //условие правила

Листинг 1. Правило перезаписи кода в системе DMS

www.kite.ru


встраиваемые системы

104

зована дважды, и ее появление в шаблонной последовательности строго определено. А в выражении RHS синтаксическая переменная использована для указания, что должна быть заменена часть кода, совпавшая с шаблоном в выражении LHS. И наконец, условие в данном правиле — это зависимое от языка кодирования конкретное выражение проверки состояния. В данном примере выполняется проверка: не содержит ли фрагмент программы, совпавший по \v, каких-либо побочных эффектов (no side effects), что может сделать данное преобразование некорректным. До начала применения каждого правила типовой механизм перезаписи кода сначала выполняет синтаксический анализ (парсинг) такого правила в соответствии с встроенным языком описания правил. А затем уже выполняет парсинг шаблона, заключенного в кавычки, в соответствии с правилами преобразуемого языка кодирования (в данном примере в качестве дефолтного домена (default domain) указан преобразуемый язык C). В результате такой обработки формируется дерево шаблонов. В процессе преобразования механизм перезаписи кода сопоставляет шаблон LHS с отдельными частями программы и, в случае удовлетворения указанного условия, заменяет совпадающие блоки соответствующим шаблоном RHS. Результат применения правила, приведенного в листинге 1, проиллюстрирован в листинге 2: До: После:

(*Z)[a>>2]=(*Z)[a>>2]+1; (*Z)[a>>2]++;

Листинг 2. Результат преобразования кода

Обычно система преобразования кода содержит большое число правил, которые имеют большое число возможных мест применения в исследуемой программе. То, каким именно образом система преобразования осуществляет выбор конкретных правил и точных мест их применения в программах, является достаточно сложным процессом, требующим отдельного описания, и в данной статье не рассматривается. Теперь, получив некоторые общие знания о принципах работы систем преобразования программного кода, можно перейти к рассмотрению реализации одной из таких систем, перечислить ее функциональные возможности, указать преимущества и привести некоторые примеры практического применения.

Рис. 1. Упрощенная модель системы преобразования кода DMS

мый под нужды конкретной задачи. (Имеются в виду такие виды работ, как извлечение документации, анализ, портирование, преобразование и модификация кода, а также смена интерфейса или другие крупные регулярно проводимые изменения.) Также предоставляется дополнительная возможность генерации доменно-зависимого программного кода. DMS Software Reengineering Toolkit содержит полный инструментарий для обработки кода программ, написанных для работы на нестандартных платформах (например, код на языке C для встраиваемых систем), и позволяет автоматизировать анализ исходного кода программ, а также модификацию и преобразование программных комплексов, написанных на нескольких языках кодирования (в нескольких так называемых доменах).

Одно из важных преимуществ программного пакета DMS — полное отсутствие зависимости от конкретного языка кодирования. Благодаря этому DMS взаимодействует с популярными языками разработки аппаратуры (например, Verilog и C). Также возможна одновременная работа с использованием нескольких таких языков, а значит, DMS можно считать удобным средством для проведения совместной разработки аппаратуры и программ. DMS поддерживает работу с программами разных уровней. Это могут быть языки программирования (такие как C и C++), языки разметки (HTML и XHTML), языки описания разрабатываемой аппаратуры (Verilog и VHDL), языки описания данных (SQL и PL) и различные доменно-зависимые языки [7].

DMS Software Reengineering Toolkit Программный пакет DMS Software Reengineering Toolkit представляет собой набор инструментов для проведения реинжиниринга программных систем, настраивае-

Рис. 2. Схема реализации системы преобразования кода DMS

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


встраиваемые системы

Упрощенная модель системы DMS (рис. 1) может быть представлена как предельно обобщенный компилятор, который включает синтаксический анализатор (парсер), семантический анализатор, механизм преобразования программы (средство генерирования и оптимизации кода) и компоненты форматирования итогового выходного кода (формирующие код исходных файлов вместо двоичного кода). Для стандартных компиляторов характерно, что каждая их компонента, как правило, зависит от исполняемых им функций или определяется контекстом перевода кода с конкретного исходного языка к виду целевого машинного кода. В отличие от таких компиляторов, в DMS каждая компонента имеет большое число настраиваемых параметров, что позволяет добиться значительной гибкости системы преобразования кода и подстроиться под требования конкретной решаемой задачи. На рис. 2 приведен вариант схемы реализации системы DMS с набором настраиваемых инструментов. По сути, система DMS поддерживает широкий спектр возможностей по выбору входного языка, виду проводимого анализа, типу выполняемого преобразования, а также настройке вида выходных данных. И такая гибкость имеет особое практическое значение. Также, в отличие от стандартных компиляторов, DMS может выполнять одновременную обработку большого числа файлов, написанных на разных языках. Таким образом, обеспечивается возможность проведения анализа и/или последовательного изменения кода для крупных программных комплексов. Промышленное применение Возможности системы DMS позволяют ей решать многие задачи, стоящие перед разработчиками промышленных систем. Среди них анализ качества, реструктуризация, автоматическое портирование, генерирование удобочитаемого и хорошо оптимизированного программного кода. Проведенные промышленные испытания показали, что DMS способна работать с крупномасштабными программными системами со следующими характеристиками: • объем исходного кода или спецификаций может доходить до нескольких миллионов строк; • число исходных обрабатываемых файлов может исчисляться десятками тысяч; • одновременно для описания исходного кода может быть задействовано несколько языков кодирования. Для обеспечения достаточной вычислительной мощности системы DMS, удовлетворяющей таким высоким требованиям, нужно учитывать следующую особенность. Если DMS запускается на однопроцессорной аппаратной платформе, то она работает с одной скоростью, а если DMS запускается на аппаратной платформе с симметричной многопроцессорной обработкой (Symmetric

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

MultiProcessing, SMP), то можно добиться более высокой производительности. Например, при такой реализации процесс обработки атрибутов будет распараллеливаться автоматически. И соответственно, применение N‑процессорной SMP-платформы зачастую может обеспечить значительное линейное ускорение работы системы. Используемые платформы Система DMS и разработана именно для запуска на таких платформах с симметричной многопроцессорной обработкой, базирующихся на архитектуре x86. При разработке системы DMS принят во внимание целый ряд требований к реализации, учитывающих важные потребности современных потребителей таких систем: • DMS запускается на всех разновидностях ОС семейства Windows. • В качестве аппаратной платформы рекомендуются к применению однопроцессорные или мультипроцессорные SMPсистемы от Intel или AMD. • Используя возможности приложения Wine, DMS запускается на ОС семейств Linux, Solaris и MAC OS X. Поддерживаемые языки Для быстрого внедрения системы DMS ее разработчики реализовали поддержку внешних интерфейсов (так называемых доменов) для большинства востребованных языков кодирования. Это позволяет создавать настраиваемые пользовательские компиляторы, удобные инструменты анализа или инструменты достоверного преобразования кода. Перечень этих языков (приводится в порядке востребованности среди разработчиков встраиваемых систем) включает: • C (диалекты Microsoft, ANSI, GNU, C99). Здесь реализованы поддержка препроцессорных директив, максимальные разрешения для имен и типов, анализ потоков данных и потоков управления, а также построение графов общесистемных вызовов. • C++ (диалекты Microsoft, ANSI, GNU). Здесь реализованы поддержка препроцессорных директив и максимальные разрешения для имен и типов. • C# (язык .NET от Microsoft). Поддерживаются версии 1.2, 2.0, 3.0 и 4.0. • SystemC 2.1. • Verilog 1995, 2001. • SystemVerilog 3.1a. • VHDL 1993. • HTML 4.0, XHTML, включая диалект IE. • XML. • Java 1.1, 1.2, 1.3, 1.4, 1.5, 1.6. Здесь реализованы максимальные разрешения для имен и типов (включая файлы классов и JDK), анализ потоков данных и потоков управления, а также построение графов вызовов. • SQL ANSI 2011. • MATLAB M‑файлы и Simulink. • PHP3, PHP4 и PHP5.

105

• Python 2.6 и 3.1. • PL/SQL (язык программирования баз данных Oracle Database). • Delphi 6 (“Borland ObjectPascal”). • Rational Rose UML (файлы.MDL). • Visual Basic (VB.net, VB6, VBScript+ASP). • Ada 83/95. • COBOL 85 (“ANSI”), IBM VS COBOL II, IBM Enterprise COBOL. Здесь реализованы поддержка препроцессора, управление COPYLIB, функция построения отчетов, максимальные разрешения для имен и типов, а также анализ потоков данных и потоков управления. • CFEngine v3. Реализована поддержка обеспечения политики безопасности и конфигурации системы. • ECMAScript (ECMA‑262, JavaScript [диалекты Microsoft и Netscape], ActionScript, ActionScript2, ActionScript3, ASP, JSP, HTML и XML). • EGL и VAGen (IBM). • FORTRAN 95/90/77. • HLASM (IBM). • JCL (IBM). • JOVIAL (язык встраиваемых систем для военного применения), с полной поддержкой анализа имен и типов. • Mathematica. • IDL (Corba 2.3). • Структурированный текст в формате стандарта IEC 61131-3 (языки управления промышленной автоматизации). • Язык ассемблера для оборудования Motorola M6800/M6801/M6805/M6808/ M6809/M6811/M6812. • PARLANSE. • Natural (язык программирования мейнфреймов) и Adabas (DDM-файлы). • Pascal (ISO 7185). • Perl 5. • Pick Data Basic (универсальный диалект). • PL/1 (IBM). • Progress aka OpenEdge (4GL). Функциональные возможности внешних интерфейсов поддерживаемых языков В системе преобразования кода DMS для внешних интерфейсов (доменов) поддерживаемых языков предоставляются следующие функциональные возможности. • Выполняется полный лексический анализ, включая чтение исходных файлов в кодировках ASCII (ISO8859-1) и UNICODE. • Все грамматические правила указываются в явном виде и базируются непосредственно на технической документации и стандартах. • Автоматическое построение полного абстрактного синтаксического дерева. Здесь учитываются все использованные комментарии и форматы именованных величин. Также обеспечиваются межпроцедурный и межфайловый анализ и преобразование кода (на одном или нескольких языках) в контексте одного рабочего пространства. www.kite.ru


106

встраиваемые системы

• Автоматическое конструирование системы трансформации исходного кода по принципу source-to-source, а также возможность определения настраиваемой грамматики и атрибутов для создания пользовательских анализаторов. • Поддержка большого числа диалектов различных языков кодирования. • Устойчивость системы и высокая достоверность результатов выполненного преобразования кода благодаря тщательному тестированию, реализованному во время разработки, и бэта-тестированию, проведенному большим числом пользователей во время практического применения системы.

Практика использования DMS для преобразования кода Компания Semantic Designs, разработчик системы DMS, в 2009 году получила от компании Northrop Grumann, производителя бомбардировщиков B‑2, ответственное задание по созданию средств модернизации управляющих программ и электронной начинки для B‑2 с целью продления срока их службы. Специалистами Semantic Designs был разработан один из важнейших компонентов модернизации: транслятор JOVIAL2C, который позволяет выполнять автоматизированную трансляцию старого кода управляющих программ, написанного на специализированном языке Jovial, в код на более привычном и распространенном языке C [8]. Созданный транслятор предоставляет возможность переписать заново программное обеспечение самолета и интегрировать все его системы — как старые, так и новые. Помимо этого, перевод систем управления на язык C поможет быстрее обновлять управляющие программы по мере необходимости. Конечно, для большинства современных разработчиков встраиваемых систем задача обработки кода на таком достаточно устаревшем языке, как Jovial, не является самой востребованной. Но в данном случае у автора есть только одна задача — показать возможность трансляции кода, пусть даже и с такого архаичного языка. В качестве альтернативы, в частности, можно было бы представить обработку ассемблерного кода для ADSP2181 или его аналога. Тем не менее автор приводит данный пример использования системы преобразования кода DMS, поскольку он взят из реальной практики и довольно показателен: описываемый подход в разработке можно применить даже в столь нестандартной ситуации. Итак, приведем практический пример, в котором с помощью средств системы DMS выполняется преобразование кода с устаревшего языка Jovial на современный универсальный C, что продиктовано требованиями модернизации конкретной программной платформы.

В листинге 3 приведен пример задания нескольких правил перевода кода с Jovial на C: default source domain Jovial; //имя домена default target domain C; private rule refine_data_reference_dereference_NAME (n1:identifier@C,n2:identifier@C) :data_reference->expression = “\n1\:NAME @ \n2\:NAME” → “\n2->n1”. Private rule refine_for_loop_letter_2 (lc:identifier@C,f1:expression@C, f2:expression@C,s:statement@C) //переменные шаблона :statement-> statement = “FOR \lc\:loop_control : \f1\:formula BY \f2\:formula; \s\:statement” //синтаксис исходного домена -> “{ int \lc = (\f1); for(;;\lc += (\f2)) { \s } }” //синтаксис целевого домена if is_letter_identifier(lc).

Листинг 3. Набор правил для преобразования кода с Jovial на C

START TABLE TFP’D’TWRDET (1:109,12:37); BEGIN % Main status boolean % ITEM TFP’G’TWRDET STATUS (V(YES),V(NO)); END TYPE TFP’D’TWRDET’TABLE TABLE (7:23) W 3; BEGIN ITEM TFP’ITM S 3 POS(0,3); “cube axis” END %begin proc% PROC PROC’A(c1) S; BEGIN ITEM match’count U 6; %an item% ITEM c1 C 5; “parameter value” ITEM c2 C 7; IF c1 <= c2 AND c2 > c1; match’count = UBOUND(TFP’D’TWRDET,0) + UBOUND (TFP’D’TWRDET’TABLE,0); “result off by 1 so adjust” match’count = match’count+1; BEGIN match’count = match’count/2; PROC’A = match’count; % return answer % END “cleanup and exit”; END “end proc” TERM

А вот как выглядит результат преобразования (листинг 4):

Листинг 5. Исходный код на языке Jovial, до преобразования

Исходный код на языке Jovial: FOR i: j*3 BY 2 ; x@mydata = x@mydata+I; Результат перевода кода на язык C: { int i = j*3; for (;;i+=2) { mydata->x = mydata->x + i} }

Листинг 4. Результат применения правил для преобразования кода с Jovial на C

Как правило, для полного перевода кода с языка Jovial выполняется множество подобных небольших преобразований с использованием порядка 2500 правил перевода кода. Для большей наглядности приведем комплексный пример преобразования кода с Jovial на C. Вот как выглядит исходный код на языке Jovial (листинг 5). Здесь используются вложенные таблицы с битовым смещением, определением типов, функций, строковых операций и комментариев. Вот результат перевода кода на язык C (листинг 6). Это эквивалент кода из листинга 5 с применением написанного вручную макроса. Из примера наглядно видно, что преобразование кода, помимо непосредственного перевода с языка на язык, может обеспечивать и значительное усовершенствование кода. n Окончание следует

Литература 1. Сергеева А. Тестирование работоспособности промышленного компьютера // Компоненты и технологии. 2014. № 2. 2. Сергеева А. Одновременная разработка программ и аппаратуры для встраиваемых систем при помощи симулятора аппаратуры Vista

#include “jovial.h” static struct { /* Main status boolean */ enum { V(yes$OF$tfp_g_twrdet$OF$tfp_d_twrdet), V(no$OF$tfp_g_twrdet$OF$tfp_d_twrdet) } tfp_g_twrdet _size_as_word; } tfp_d_twrdet[109][26]; typedef union { W(3); struct { POS(0, 3) S(3) tfp_itm:4 _align_to_bit; /* cube axis */ }; } tfp_d_twrdet_table[17]; static S proc_a(C(5) c1); /* begin proc */ static S proc_a(C(5) c1) { __typeof__(proc_a(c1)) RESULT(proc_a); _main: { U(6) match_count; C(7) c2; if (CHARACTER_COMPARE(BYTE_CONVERT(C(7), c1, 7), c2) <= 0 && CHARACTER_COMPARE(c2, BYTE_CONVERT(C(7), c1, 7)) > 0) match_count = UBOUND(tfp_d_twrdet, 2, 0) + 16; /* result off by 1 so adjust */ match_count = (S(6))match_count + 1; { match_count = (S(6))match_count / 2; RESULT(proc_a) = (S(6))match_count; /* return answer */ } /* cleanup and exit */ ; } _return: return RESULT(proc_a); } /* end proc */

Листинг 6. Полученный код на языке C, после преобразования

Virtual Prototyping // Компоненты и технологии. 2014. № 3. 3. Chikofsky E., Cross J. Reverse Engineering and Design Recovery: A Taxonomy. — IEEE Software, 1990. 4. www.reasoning.com 5. www.strategoxt.org 6. www.semdesigns.com 7. Об инструментарии DMS Software Reengineering Toolkit — http://www.semdesigns.com/products/ DMS/DMSToolkit.html 8. О проекте JOVIAL2C — http://www.tgdaily.com/ technology/42426‑us-upgrades-stealth-bombersoftware

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама

Реклама

107

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


108

новости

встраиваемые системы

Микрокомпьютер МВ 77.07 на отечественной СБИС К1879ХБ1Я

Реклама

Компания ЗАО НТЦ «Модуль» выпустила новое устройство широкого функционального назначения — микрокомпьютер МВ 77.07. Микрокомпьютер МВ 77.07 на основе отечественной СБИС К1879ХБ1Я является одноплатным решением широкого спектра применения в системах управления и автоматизации, задачах анализа, обработки и распознавания изображений, в составе встраиваемых устройств и в качестве платформы разработчика оборудования и ПО. Универсальный набор цифровых интерфейсов позволяет использовать устройство как средство контроля и управления различными электронными системами. Микрокомпьютер МВ 77.07 интегрирует в своем составе ядро управляющего процессора ARM1176 и ядро сигнального сопроцессора DSP NeuroMatrix NMC3. Аппаратный блок декодера с поддержкой функций масштабирования и наложения графических и видеослоев производит декодирование видеоизображения стандартной и высокой четкости в соответствии со стандартами MPEG‑2/H.264/VC‑1 в режиме реального времени. Микрокомпьютер имеет широкие возможности программного деко-

дирования аудио- и видеопотоков как средствами центрального процессора ARM1176, так и c помощью сигнального сопроцессора NeuroMatrix за счет распределения вычислительной нагрузки между ядрами. В качестве ПО поставляется операционная система Linux (прошивка Debian/Raspbian), бинарные сборки инструментов кросс-средств и наборы библиотек SDK для работы с DSP-ядром. Основные характеристики микрокомпьютера МВ 77.07: • СБИС декодера цифрового телевизионного сигнала К1879ХБ1Я; • ядро процессора ARM1176 с тактовой частотой 324 МГц; • ядро DSP NeuroMatrix NMC3 с тактовой частотой 324 МГц; • системная память DDR2 SDRAM общим объемом 256 Мбайт; • NAND-флэш-память объемом 1 Гбайт. Интерфейсы: • синхронный параллельный интерфейс транспортного потока EN50221; • Ethernet 10/100 Mбит; • USB 2.0 Host (2 стандартных порта + 2 на общем разъеме); • порт HDMI Tx; • GPIO до 32 портов (на общем разъеме); • UART; • SPI; • JTAG; • Host Interface через Ethernet (EDCL); • SPDIF (многоканальный); • I2C. Электропитание и размеры: • питание 5 В; 2,5 А; • габариты 80×80 мм. www.module.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


схематехника

проектирование

109

Способ выделения пачек прямоугольных импульсов из их непрерывной последовательности произвольным асинхронным строб-сигналом

Виктор Сафронов, к. т. н. vik.saf@yandex.ru

Введение Для выделения пачек прямоугольных импульсов из непрерывной последовательности на практике часто используют логический элемент с функцией «2И», на один вход которого подают импульсы генератора, а на другой — стробирующий сигнал (рис. 1). Ясно, что на выход элемента «2И» будут проходить импульсы генератора лишь до тех пор, пока действует асинхронный стробирующий сигнал на втором входе элемента «И». Но все не так просто! Схема «2И» окажется неприемлемой в качестве устройства стро-

Во многих цифровых устройствах существует задача корректно выделять ряд импульсов из непрерывной последовательности под управлением стробсигнала. Использование логического элемента с функцией «2И», на один из входов которого подают непрерывную последовательность импульсов, а на другой — стробирующий сигнал, для выделения пачки импульсов в общем случае некорректно и может привести к серьезным последствиям. В статье приведены примеры математического синтеза моделей последовательностных автоматов Мура и Мили для корректного формирования пачек прямоугольных импульсов из их непрерывной последовательности с помощью асинхронных стробирующих сигналов без искажения длительностей и количества импульсов в пачке. Показано, как на основе анализа карт Карно–Вейча синтезированного последовательностного автомата следует мотивированно выбирать элементную базу для практической реализации.

бирования, если в разрабатываемом проекте не допустимы потери импульсов в пачке или искажение их длительностей. Асинхронный Е‑строб никак не привязан по времени ни к положительным, ни к отрицательным фронтам импульсов в последовательности генератора G. В этом случае первый и последний импульсы в выделяемых пачках практически всегда окажутся обрезанными по длительности или вообще пропадут, если в результате будут слишком короткими. В любом случае из-за устройства стробирования, построенного на элементе «2И», такие устройства, как, например,

частотомер, счетчик событий, формирователь действующего значения напряжения, АЦП, импульсный вольтметр и т. д., работали бы неправильно. Эту проблему решает схема асинхронного квантизатора временных интервалов, синтез и анализ которой приведен далее. В статье использован аппарат математической логики, методы синтеза и анализа таблиц переходов и устойчивости переходов по внутренним состояниям конечных цифровых автоматов, четко и академично изложенные С. Ангером в книге [1]. Эта книга с 1970‑х годов и по сей день считается в мире классическим образцом изложения математического аппарата синтеза и анализа асинхронных конечных автоматов в отличие от многих современных книг на эту же тему.

Два способа стробирования непрерывной последовательности импульсов

Рис. 1. Два способа стробирования для получения пачки импульсов с помощью: логического элемента с функцией «2И» (график зеленого цвета); квантизатора временных интервалов (нижний график голубого цвета)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Схему с описанными далее свойствами иногда в литературе называют «квантизатор временных интервалов» [1]. Будем называть синтезируемую схему так же. Итак, как работает схема квантизатора временных интервалов: • В идеальном, но маловероятном на практике случае строб может начинаться и заwww.kite.ru


110

проектирование

схематехника

а

Рис. 2. Асинхронная таблица переходов квантизатора: 1–7 — номера состояний автомата (устойчивые обведены кружком); E — стробирующий сигнал; G — прямоугольные импульсы источника (генератора)

канчиваться при «нулевых» значениях амплитуд импульсов генератора, то есть в паузах сигналов G. Тогда на выход от положительного до отрицательного фронтов каждый импульс будет проходить без сокращения длительности. • Если на входе схемы положительный фронт самого первого импульса в последовательности появится раньше строба, то длительность импульса окажется сокращенной, а сам импульс из-за этого будет исключен из пачки. Для парирования такого события — исключения импульса — остальные импульсы будут передаваться на выход, но с привязкой не к положительному, а к ближайшему отрицательному фронту (!) с последующим инвертированием каждого импульса на выходе. Переключение логики слежения схемой с положительных на отрицательные фронты в этой ситуации позволяет искусственно «восстановить» прежнее количество импульсов в пачке. • Если же строб заканчивается раньше, чем последний импульс в последовательности, то последний импульс в пачке формируется до конца без усечения его длительности (сравните на рис. 1 нижний график (голубого цвета) с графиком (зеленого цвета), полученным по функции «2И»). Теперь по описанию работы схемы синтезируем квантизатор временных интервалов, построив таблицу переходов конечного автомата (рис. 2). Значения Y на выходе квантизатора указаны справа (рис. 2) от соответствующих устойчивых состояний:

б

Рис. 3. Таблицы финальных пар по моделям: а) Мура; б) Мили: MCi — максимально совместимые множества строк с перечисленными в них номерами устойчивых состояний (строк)

• переходы 1-2-3-2-3…2-1 реализуют все события в указанном выше первом случае работы схемы квантизатора; • переходы 1-4-5-6-5…6-1 соответствуют указанному второму случаю, причем собственно переходы 5-6… осуществляют слежение за отрицательными фронтами импульсов; • переходы 1-2-3-7-1 осуществляют формирование последнего импульса в пачке без обрезания его длительности.

Синтез схемы квантизатора временных интервалов по модели Мура По таблице переходов (рис. 2) построим таблицу финальных пар (рис. 3), соответствующую модели Мура (слева), и для сравнения модель Мили (справа). Из рис. 3 видно, что полные покрытия таблиц финальных пар и по модели Мура, и по модели Мили обеспечивают четыре максимально совместимых множества, но в модели Мили (рис. 3б) в МС1 и МС4, в МС2 и МС3 соответственно присутствуют 2 и 6 альтернативные устойчивые состояния. Теперь нетрудно нарисовать карты кодирования, сжатые таблицы (рис. 4) и карты Карно — Вейча для выходного сигнала (Y) и кодирующего сигнала (Z) (рис. 5) по модели Мура. Для того чтобы обеспечить переходы между соседними устойчивыми состояниями в таблице переходов по модели Мура, состояния расставлены и закодированы в коде Грея, кроме устойчивых состояний 1 и 3,

Рис. 4. Карта кодирования и сжатая таблица переходов по модели Мура

между которыми переход 3-1 не является соседним. Они не могут быть закодированы соседними кодами Грея и требуют дополнительного анализа на предмет критических гонок. А критических гонок при переходе из устойчивого состояния 3 в устойчивое состояние 1 нет, так как в крайнем левом столбце таблицы переходов (рис. 4) имеется единственное устойчивое состояние 1, поэтому любая гонка в столбце не критична, всегда заканчиваясь в состоянии 1. Далее, выпишем тупиковые логические уравнения по картам Карно–Вейча (рис. 5), причем для взаимной минимизации формул выгодно воспользоваться не прямыми, а инверсными значениями переменных:

– y–+ = G E–+G–z–+GEz +Gy–z +Ey–, z–+ = G–E–+G–z–+Ez–.

(1)

Необходимо заметить, что минтерм Gy–z в формулах (1) и на карте для Y+ вовсе не лишний (!), как может показаться. Он выполняет роль противогоночного «мостика» между минтермами GEz и E–y–, защищая переход 2(0)-2(0) от последовательностных гонок при переключениях сигнала Е и G = 1, Y = 0, Z = 1.

Синтез квантизатора по модели Мили Проделаем те же процедуры по модели Мили, причем для совместной минимизации карт выберем одноименные минтермы, покрывающие таблицу переходов, тоже с ин-

Рис. 5. Карты Карно–Вейча для выходного сигнала (Y+) и кодирующего сигнала (Z+) по модели Мура

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


схематехника

Рис. 6. Карта кодирования и сжатая таблица переходов по модели Мили

Рис. 7. Карты Карно–Вейча для кодирующих сигналов (Z1, Z2) и выходного сигнала (Y) по модели Мили

версными значениями сигнала Y, указанными в знаменателях таблицы. Карта кодирования по модели Мили (рис. 6) не совпадает с картой кодирования по модели Мура (рис. 4) из-за наличия дополнительной кодирующей переменной Z2. Кроме того, в соответствующей таблице переходов есть альтернативные состояния, указанные в числителях и в знаменателях (рис. 7). Логические уравнения для модели Мили выписывать не будем, поскольку они значительно сложнее уже полученных по модели Мура (редкий случай, обычно на практике бывает наоборот, что автомат Мура, как правило, сложнее).

Мотивация выбора элементной базы На первый взгляд задача выбора кажется простой, но только кажется. Без серьезного анализа проекта правильно выбрать элементы невозможно: ошибаются не только начинающие разработчики, но и профессионалы… По причинам, указанным автором статьи в [2], далее приведена элементная база в порядке убывания ее актуальности применительно к описываемому примеру: • программируемая логическая матрица (ПЛМ, ПЛА) для асинхронной реализации примера; • микросхемы с малой степенью интеграции (МИС); • устройство с программируемой логикой (ПЛИС) для асинхронной реализации примера; • микропроцессор (МП) для синхронной реализации примера. Следуя современным тенденциям, хочется решить эту задачу, использовав микропроцессор, но технически верно — применить ПЛМ или ПЛИС с учетом нюансов, описан-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

ных автором статьи в [2]. В данном примере вполне приемлемо использовать и МИС. Худшим вариантом будет использование микропроцессора. Физические процессы происходят асинхронно в непрерывном времени и по параллельным алгоритмам. «Могущество» и универсальность класса асинхронных автоматов [1] в том-то и заключается, что их логические уравнения (по определению) тоже «работают» в непрерывном времени и параллельным алгоритмам в отличие от синхронных автоматов и, значит, машин фон Неймана. Только синтезировать асинхронные автоматы намного сложнее. Микропроцессор (микроконтроллер) представляет собой синхронную, «машину фон Неймана», с последовательным исполнением операторов (программы) и последовательным реагированием на внешние сигналы, причем только двумя способами: • непрерывным программным опросом выбранного бита порта, через который вводится внешний сигнал; • генерированием процессов внешнего прерывания (interrupt) по условию на выбранном входе. Примечание. Для справки: понятие внеш‑ него прерывания введено не Д. Нейманом. Оно придумано сотрудником фирмы. Intel (USA) в начале 1970‑х годов. Синхронная организация схемотехники микропроцессора, по определению позволяющая выполнять все действия только последовательно и в квантованные моменты времени, в данном случае «мешает» ему реагировать на асинхронные внешние сигналы повышенной частоты, что является непреодолимым недостатком. Асинхронные автоматы, реализованные на ПЛМ и ПЛИС в виде аппаратных цепочек (а не программных, как в микропроцессоре), свободны именно от этого недостатка и потому приоритетны.

проектирование

111

Далее, если для микропроцессора применить метод непрерывного программного опроса порта по факту регистрации положительного фронта импульсов входной последовательности, то при написании программы можно скоро убедиться, что от ресурса времени микропроцессора, даже пусть самого мощного, ничего не останется для выполнения других операторов программы. Просто потому, что весь ресурс будет поглощен избыточными, а значит, бесполезными опросами порта. Приведем пример фрагмента программы на языке Micropascal: procedure ReadPortA; begin {цикл опроса положительного фронта импульса в порте А} repeat {пустой цикл} until portA.b0=1; текст обработки внешнего сигнала … end;

Можно попытаться программно опрашивать появление положительного фронта менее динамичного сигнала-строба (фрагмент программы): procedure ReadPortA; var strob,b0:byte; begin repeat {цикл опроса положительного фронта сигнала СТРОБ в порте А} b0:= PortA.b0 until b0=1; if (strob=1) and (b0=1) then текст обработки внешнего сигнала … end;

Но и в этом варианте возникает ситуация, уже описанная выше, вызывающая неопределенность фиксации положительного фронта с последующим обрезанием импульса по длительности. Точно такие же ситуации возникают и при попытке использовать метод внешних прерываний: либо процедура обработчика прерываний практически «не позволит» процессору выполнять остальную программу, либо будет потерян момент фиксации положительных фронтов импульсов генератора. Таким образом, микропроцессор, позволяющий существенно упрощать схемотехнику и решать сложные вычислительные задачи, в задачах, подобных данной, оказывается невыгодным. Можно выбрать микропроцессор с повышенной тактовой частотой, можно организовать двухуровневую систему внешних прерываний с изменяемыми приоритетами или использовать два процессорных ядра, но все это не оправдает затраты. Наиболее правильным будет выбор ПЛМ для прошивки уравнений нашего асинхронного автомата. Можно также использовать ПЛИС, но с несколько большими материальными затратами для разработчика [2]. В обоих случаях прошивками должны создаваться асинхронные аппаратные, а не синхронные www.kite.ru


проектирование

112

схематехника

Таблица. Задержки распространения сигналов в микросхемах серии SN74 Микросхема SN74ALS04 SN74LS51 SN74ALS20 SN74ALS30

Задержка, нс тип.

max

3 8 8 8

5 16 15 15

Заключение

Рис. 8. Логическая схема «квантизатора временных интервалов»: E — вход асинхронного стробирующего сигнала; G — сигналы стробируемого источника импульсов (генератора); Y — выход пачек импульсов квантизатора

программные, как в микропроцессоре, цепочки, реализующие независимые параллельные асинхронные же алгоритмы. Используя одну из многочисленных IDEинтегрированных сред проектирования, например для ALTERA, можно создать прошивку для ПЛИС по логическим формулам (1). Точно так же можно сделать прошивку, используя структурный язык VHDL и схему (рис. 8), построенную по логическим формулам (1). Оба варианта позволяют реализовать схему квантизатора временных интервалов современными средствами, но все-таки, учитывая большую степень интеграции ПЛИС, по возможности следует выполнять эту реализацию на фоне одновременно выполняемых более крупных проектов в едином корпусе микросхемы. Применить ПЛМ в данном случае и технически, и экономически гораздо целесообразнее.

новости

Наконец, в данном примере можно реализовать схему квантизатора и на МИС. Это несколько архаичное решение по сравнению с решениями на ПЛМ и ПЛИС на практике тоже дает гарантированный результат, если спаять схему (рис. 8) по формулам (1) на микросхемах: • 1533ЛН1 (аналог SN74ALS04), • 1533ЛР11 (аналог SN74LS51), • 1533ЛА10 (аналог SN74ALS20), • 1533ЛА2 (аналог SN74ALS30). В интересах дальней радиолокации макетный образец квантизатора временных интервалов, построенный по схеме (рис. 8) на широко известных отечественных микросхемах 1533‑й серии (или на SN74 с суммарной задержкой до 60 нс выходного сигнала, таблица), позволил получить методическую погрешность определения дальности до цели в диапазоне от 100 до 15 000 км с ошибкой не более 20 м.

Корректное формирование пачек прямоугольных импульсов из их непрерывной последовательности с помощью асинхронного стробирующего сигнала без искажения длительностей и количества импульсов в пачке требует специальных мер и в общем случае не простых электронных логических схем. При стробировании последовательности непрерывных импульсов квантизатор временных интервалов необходим в устройствах, чувствительных не только к количеству, но и к фазам импульсов в пачке, например для радиолокации. Приведенные примеры математического синтеза схемы квантизатора временных интервалов в виде моделей последовательностных асинхронных автоматов Мура и Мили могут быть полезны в том числе как методические и учебные для разработчиков электронных цифровых устройств. n

Литература 1. Ангер С. Асинхронные последовательностные схемы. М.: Наука, 1977. 2. Сафронов В. Практика математического синтеза микропрограммных управляющих автоматов на основе ПЗУ и ПЛМ // Компоненты и технологии. 2014. № 1.

отладочные средства

Дополнительный модуль к плате Renesas Starter Kit+ для микропроцессора RZ Специалисты компании PT Electronics разработали дополнительный модуль к плате Renesas Starter Kit+ для микропроцессора RZ/A1H на базе дисплея 7″ 800Ч480 с touchscreen T070800480‑A3TMR‑009 производства Easttop Display. Используется штатный разъем для подключения дисплея на плате Renesas Starter Kit+. Решение предлагается как готовый референсдизайн для устройств с графическим интерфейсом. Предполагается, что проработанная программная поддержка дисплея и touchscreen, предоставляемые совместно с полной схемотехнической документацией, позволят существенно сократить время разработки конечного устройства на базе микропроцессора RZ/A1H, а применение недорогого, но качественного дисплея Easttop Display значительно уменьшит стоимость конечного устройства. Микропроцессоры с интегрированной памятью Renesas RZ/A1H являются новым продуктом компании, построены на базе ARM9 с тактовой частотой

400 МГц, поддерживают до двух видеовходов и двух видеовыходов, обладают богатой периферией, в том числе контроллером Ethernet, и доступны в корпусах BGA и QFP с количеством выводов от 176 до 324 и встроенной памятью 3–10 Мбайт. www.ptelectronics.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


Реклама


схематехника

проектирование

115

Проектирование фильтров в FilterPro от Texas Instruments Владимир Рентюк rvk.modul@gmail.com

Ч

итатели журнала уже имели возможность ознакомиться и оценить интерактивный online-калькулятор для расчета аналоговых низкочастотных фильтров Analog Filter Wizard от компании Analog Devices [1] и аналогичный onlineпродукт от компании Texas Instruments — WEBENCH Filter Designer, который входит в общую систему WEBENCH Design Center этой компании [2]. Как отмечалось автором статьи, оба инструмента имеют свои достоинства и недостатки. Причем основным недостатком WEBENCH Filter Designer была проблема выбора подходящих номиналов частотозадающих элементов, особенно конденсаторов. Однако еще в мае в связи с выходом нового релиза этой программы ситуация изменилась. Из положительного — добавилась возможность пусть и небольшой, но корректировки номиналов резисторов. Из отрицательного по состоянию на 16 июня 2014 года — из программы убраны расчеты полосовых и заграждающих фильтров. Доступными по состоянию на текущий момент остались только фильтр низких частот и фильтр высоких частот. В предлагаемой статье автор знакомит читателей с базовым прототипом WEBENCH Filter Designer — программой FilterPro. Это компактная, до-

В статье рассматриваются особенности проектирования низкочастотных активных фильтров с использованием программы FilterPro от Texas Instruments.

статочно гибкая программа, свободная как от старых, так и от новых недостатков WEBENCH Filter Designer. Несмотря на выявленное автором статьи ограничение в инфранизкочастотной области, она весьма полезна и может успешно использоваться для многих применений. Ее полезность становится еще более значимой, поскольку размещенная в настоящее время на сайте Texas Instruments ее улучшенная online-версия WEBENCH Filter Designer далека от совершенства. Итак, в настоящее время программа FilterPro представлена в версии 3.1. Она доступна бесплатно и без ограничений по ссылке [3]. Для ее установки нужно активировать Download FilterPro v3.1. После регистрации в системе myTI вы получите установочный файл FilterProDTSetup.exe объемом чуть более 12 Мбайт. Инсталляция программы не имеет каких-либо особенностей и осуществляется по стандартной процедуре. В результате будет установлен FilterPro Desktop. После входа в программу вам будет предложено два варианта продолжения работы с ней. Вы можете вывести один из своих предыдущих проектов (для этого необходимо раскрыть Design Tree или открыть файл через меню File → Open Design, рис. 1) или начать новый проект, ис-

Рис. 1. Начальное окно с вкладкой, поясняющей пути выбора варианта проектирования фильтра

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

пользуя мастер проектирования фильтров Design Wizard (рис. 2). По умолчанию в программе сразу открывается вкладка Design Wizard. Если в будущем вы не планируете начинать каждый проект с его использования, то уберите флажок Show this wizard as startup. Если же впоследствии вам понадобится обратиться к мастеру проектирования фильтров, его всегда можно активировать через виртуальную кнопку New Design Wizard (расположена в левом верхнем углу под меню File). В программе FilterPro доступны все пять основных вариантов фильтров. Это стандартные фильтры: • фильтр низких частот (Lawpass); • фильтр высоких частот (Highpass); • полосовой (полосопропускающий) фильтр (Bandpass); • полосовой режекторный фильтр и узко п оло с н ы й р еже к т о р н ы й ф и л ь т р (Bandstop/Notch). Кроме стандартных пяти фильтров, доступно проектирование фазового фильтра (Allpass, Time Delay) или линии задержки. Следует отметить эту весьма важную особенность программы FilterPro, так как проектирование фазовых фильтров и линий задержки в явной форме недоступно для большинства программ.

Рис. 2. Вкладка для начала разработки нового проекта в системе Design Wizard. Шаг 1. Выбор типа фильтра (Step 1. Filter Type)

www.kite.ru


116

проектирование

схематехника

Рис. 3. Вкладка задания основных параметров фильтра в системе Design Wizard. Шаг 2. Характеристики фильтра (Step 2. Filter Specifications) Примечание. Установки, заданные по умолчанию, изменены.

Для продолжения изучения программы воспользуемся мастером проектирования фильтров и выполним разработку нового проекта через вкладку Create New Design (рис. 2). Остановим свой выбор на проектировании фильтра низких частот (ФНЧ). Устанавливаем флажок на Lowpass и активируем кнопку Next. Откроется вкладка для задания основных параметров фильтра — Filter Specifications (рис. 3). Введем основные характеристики фильтра: • Gain (Ao) — усиление в полосе пропускания: 1 В/В или 0 дБ. • Passband Frequency (fc) — полоса пропускания (частота среза): 60 Гц. • Allowable Passband Ripple (Rp) — допустимая неравномерность в полосе пропускания: 0,5 дБ. • Stopband Frequency (fs) — частота подавления: 100 Гц. • Stopband Attenuation (Asb) — глубина затухания на частоте подавления: 40 дБ. На этой вкладке имеется полезная опция, которая позволяет сразу задать порядок фильтра, если это является более важным или удобным. Для этого необходимо установить флажок на Filter Order и выбрать порядок фильтра в Set Fixed. Минимальный порядок фильтра — 2. Очень удобно то, что все установки автоматически отображаются в левой части вкладки и накапливаются по мере выполнения проекта.

Рис. 5. Пример оценки поведения АЧХ фильтра на частоте подавления 100 Гц

Рис. 4. Вкладка выбора передаточной характеристики фильтра в системе Design Wizard. Шаг 3. Отклик фильтра (Step 3. Filter Response) Примечание. Установки, заданные по умолчанию, изменены.

Для продолжения проекта нажимаем кнопку Next. Открывается новая вкладка Filter Response (рис. 4). Вкладка позволяет выбрать тип аппроксимирующей функции для передаточной характеристики фильтра или отклик фильтра. На этом этапе будут предложены возможные варианты выбора полинома аппроксимации передаточной функции фильтра, указан порядок фильтра для каждого варианта, количество звеньев и максимальная добротность. Для рассматриваемого проекта доступны фильтр Баттерворта 10‑го порядка и, как и ожидалось, фильтр Чебышева 6‑го порядка с неравномерностью в полосе пропускания 0,5 дБ. Как наиболее приемлемый выберем второй вариант. На этой вкладке можно посмотреть ожидаемые: идеальную АЧХ (Gain), причем как в логарифмическом масштабе (в дБ), так и в линейном (В/В); фазочастотную характеристику в градусах или радианах; групповое время задержки (Group Delay) в микросекундах. Для включения необходимого графика нужно просто установить флажок напротив наименования соответствующей характеристики. Переключение осуществляется автоматически. График для выбранного проектантом варианта аппроксимации передаточной характеристики будет не только выделен соответствующим цветом, но и усилен жирной линией, что бесспорно удобно.

Рис. 6. Вкладка выбора топологии фильтра в системе Design Wizard. Шаг 4. Топология фильтра (Step 4. Filter Topology)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


схематехника

Рис. 7. Окно финальной стадии проектирования

Увеличение зон графиков выполняется прокруткой Scrool, при наведении на график курсора можно получить информацию о данной точке графика, ко всему прочему, если график зацепить мышкой, то его можно сдвинуть. Возврат графика к исходному виду осуществляется двойным кликом. Обратите внимание, что все приведенные выше операции не являются явными в интерфейсе программы, пояснения по работе с графиками высвечиваются при наведении курсора на значок «?». Пример, показывающий оценку АЧХ в точке 100 Гц, приведен на рис. 5 Если по каким-то причинам что-то не устраивает проектанта в полученных результатах, можно вернуться на шаг назад, активируя виртуальную кнопку Back. Если нет возражений, то активируется кнопка Next. Включится вкладка выбора топологии фильтра (рис. 6). Программа предлагает на выбор два стандартных варианта топологии: фильтр с многопетлевой обратной связью, или фильтр Рауха (Multiple-Feedback), и фильтр структуры Салена-Ки (Sallen-Key). Обратите внимание, что фильтр Рауха может быть представлен не только в обычном исполнении (Single ended), но и в дифференциальном включении (Fully Differential). Такое практически не встречается в других программах для проектирования фильтров. Это еще одна важная особенность программа FilterPro. Учтите, что выбор топологии будет общим для всех звеньев будущего фильтра. Такой подход, в общем, характерен для большинства программ. Остановим свой выбор на топологии Салена-Ки (именно этот вариант и показан на рис. 6). Активируем виртуальную кнопку Finish. Открывается окно финальной стадии проектирования (рис. 7), мастер проектирования Filter Wizard будет выключен. В приведенном на рис. 7 окне будет выведена базовая полная схема фильтра и данные по каждой его секции: • усиление в полосе пропускания (Passband Gain);

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

• частота среза (Cutoff Frequency); • добротность (QualitvFactor); • полином аппроксимирующей функции (в рассматриваемом примере — Chebyshev); • топология фильтра (в рассматриваемом примере — Sallen-Key); • требования к частотным свойствам операционного усилителя (min GBW). О последнем параметре — min GBW — подробнее см. [1]. Для раскрытия всех данных предусмотрена привычная прокрутка (справа). Необходимо отметить, что базовая схема фильтра приводится без особенностей построения цепей питания (например, нет блокировочных конденсаторов) и исключительно для двухполярного варианта его подачи. Для приведения схемы к варианту, пригодному к практическому применению, имеется возможность выполнить следующие модификации. Во‑первых, и это очень важно, можно задать приемлемые для проектанта отклонения для номиналов элементов. Для этого имеется опция их выбора — Component Tolerances. Допустима установка идеальных элементов с отклонением 0% и выбор из рядов: E192 (0,5%), E96 (1%), E48 (2%), E24 (5%), E12 (10%) и E6 (20%). Выбор допустим как для резисторов (Resistors), так и для конденсаторов (Capacitors), естественно, для всех секций фильтра сразу. Установим для резисторов ряд E96 (1%), а для конденсаторов, учитывая, что фильтр достаточно низкочастотный, — E24 (5%). Изменения в схеме будут проведены автоматически. Обратите внимание, что виртуальная кнопка переустановки Reset в этой программе не производит повторные вычисления, а сбрасывает расчет к предыдущему варианту. Так, если вы активируете Reset, то значения предельных отклонений элементов вернутся к значениям, установленным по умолчанию, то есть к 0%. Еще одним скрытым удобством программы FilterPro является возможность независимой корректировки номиналов элементов.

проектирование

117

Действительно, если обратиться к рис. 7, вы увидите совершенно экзотические и неприемлемые номиналы конденсаторов, например 170 мкФ (после установки отклонений по ряду E24 — 180 мкФ)! Понятно, что с такими конденсаторами фильтр не реализуешь на практике. Для выбора необходимого для проектанта номинала элемента следует навести курсор на номинал нужного элемента, кликнуть левой кнопкой мыши и в открывшемся окошке ввести новое значение номинала. Учтите, десятичные разряды нужно отделять запятой, а не точкой. Редактирование допустимо как для резисторов, так и для конденсаторов. Трудно объяснить, почему эта важнейшая опция пока в должном объеме не реализована в online-версии программы WEBENCH Filter Designer [2]. При редактировании номиналов элементов надо учитывать еще один нюанс. Программа не позволит вам выйти из заданного ряда номинальных значений. Например, номинал резистора 16,2 кОм (ряд E96 1%) заменить на 16 кОм не удастся. Это можно считать некоторым неудобством, поскольку ряд изготовителей комплектующих поставляет резисторы с отклонением в 1%, но с распределением вне ряда E96. Так, резисторы 16 кОм с отклонением 1% — более доступны, чем резисторы номиналом 16,2 кОм. Необходимо учитывать еще и тот факт, что модели операционных усилителей в данной программе — идеальные. Таким образом, проектант должен решать вопрос выбора подходящего операционного усилителя самостоятельно, учитывая ограничения по минимальной полосе пропускания, которая приводится для каждой секции фильтра (параметр min GBW). Обращаю внимание проектантов на еще один момент — программа не предлагает в явной форме электролитические конденсаторы. А потому при практической реализации фильтра разработчик должен самостоятельно решить вопрос подачи поляризующего напряжения. В противном случае вы не получите выигрыш по шумам, особенно в области влияния шумов типа 1/f. В нижней части окна приведены три графика — на левом, совмещенном, вы увидите АЧХ и ФЧХ фильтра, на правом — зависимость группового времени задержки от частоты. Процедура анализа и рассмотрение зон графиков — аналогична описанной выше. Необходимо заметить, что в этом окне предлагаются уже не только ожидаемые зависимости, а и реальные. То есть приводятся как идеализированные графики для случая использования идеальных элементов с отклонением 0%, так и графики с учетом реально установленных отклонений (actual и original). Однако полного поля разброса графиков не дается. Представлен только некоторый «наихудший» по мнению разработчика программы вариант. Тем не менее даже такое представление можно считать положительным, поскольку некоторые проwww.kite.ru


проектирование

118

схематехника

граммы не дают и этого. Недостатком интерфейса программы является и малый размер приведенных графиков, что затрудняет их обработку. Минимизировать подобный недостаток позволяет предусмотренная разработчиком программы возможность вывода графиков в виде таблиц с их экспортом в Excel. Для этого необходимо активировать виртуальную кнопку Data и в открывшемся окне задать Export to Exc. Вывод перечня элементов осуществляется активацией виртуальной кнопки BOM (Bill of Material). Будет выведена таблица, описывающая элементы каждой секции фильтра (напоминаю: без учета особенностей построения цепей питания!). Таблица также может быть экспортирована в Excel. Результаты проектирования выводятся через виртуальную кнопку Design Report и могут быть сохранены в виде PDF-файла (путь для сохранения будет предложен) и впоследствии распечатаны. Перед этим рекомендуется сделать пояснения к проекту в соответствующем окне, активировав кнопку Report. Сохранение проекта для последующей работы осуществляется нажатием на значок дискетки в окне финальной стадии проектирования. Какие еще нюансы имеет программа FilterPro? Ряд бесспорно положительных моментов и некоторые тонкости использования программы уже были рассмотрены на примере проектирования типового ФНЧ. Дополнительные нюансы, которые нужно учитывать проектировщику, таковы: если вы начнете сразу новый проект после завершения предыдущего, то установки предельных отклонений элементов будут сохранены; при проектировании фильтров нечетных порядков звенья первого порядка будут только активными. То есть фильтр первого порядка будет разделен от первого основного звена фильтра буферным повторителем. Как видим, никаких особых ограничений для применения данной программы вроде бы и нет. Однако! Программа FilterPro имеет и один весьма существенный недостаток. Используя эту программу, вы не сможете проектировать фильтры инфранизких частот. Программа просто не работает с частотами среза ниже 10 Гц. Как бы вы ни меняли значение частоты, частота среза будет установлена на минимум 10 Гц, но увидите вы это лишь на графиках, в спецификации это показано не будет. Последнее может ввести невнимательного проектанта в заблуждение и привести к ошибке в проекте. Это является не только недоработкой программы в части

новости

Рис. 8. Иллюстрация поведения программы при проектировании ФНЧ в области инфранизких частот (частота среза fc задана 2 Гц, график показывает частоту среза fc = 10 Гц)

удобства ее использования, а и существенным ограничением для ряда применений. Пример, иллюстрирующий эту выявленную автором статьи на собственном опыте недоработку программы FilterPro, приведен на рис. 8. Как отмечалось, эта недоработка устранена в следующем поколении данной программы, а именно в упомянутой WEBENCH Filter Designer, которая доступна в системе WEBENCH Design Center [2]. n

Литература 1. Рентюк В. Проектирование активных фильтров в Analog Filter Wizard 2.0 // Компоненты и технологии. 2013. № 6. 2. Рентюк В. Проектирование активных фильтров в системе WEBENCH Designer Center // Компоненты и технологии. 2014. № 2. 3. FilterPro v3.1 — http://www.ti.com/tool/filterpro

события

VISION Russia Pavilion & Conference Единственная в России выставка машинного зрения VISION Russia Pavilion & Conference вновь будет проводиться с 17 по 18 июня 2015 года на одной площадке с ведущим форумом индустрии микроэлектроники в России — SEMICON Russia. По словам организаторов, параллельное проведение VISION Russia Pavilion & Conference и SEMICON Russia обусловлено корреляцией двух дополняющих друг друга экспозиций: системы машинного зрения широко используются в производстве полупроводников для контроля качества и тестирования кремниевых пластин, процессорных чипов и компонентов. В 2015 году VISION Russia Pavilion & Conference продолжает пользоваться поддержкой Messe Stuttgart — немецкого выставочного общества, организатора главной международной выставки машинного зрения, проходящей в Штутгарте.

Российская экспозиция VISION Russia Pavilion & Conference обещает стать местом встречи производителей и дистрибьюторов систем и технологий машинного зрения с широким спектром целевых групп из разных отраслей. Организаторы уделяют особое внимание работе с ключевым сегментом участников — системными интеграторами, предлагающими гибкие индивидуальные решения «под каждую индустрию» с учетом ее специфики и потребностей. Эксперты прогнозируют, что, по аналогии с Европой, основным драйвером российского рынка машинного зрения станет интеграция технологий автоматизации в ключевых отраслях промышленности, что позволит повысить конкурентоспособность отечественных предприятий. Позиционируемая как локальная платформа индустрии машинного зрения, VISION Russia

Pavilion & Conference предназначена для специалистов из различных отраслей, демонстрируя системы и технологии с огромным полем как промышленного, так и непромышленного применения. В рамках стратегии развития проекта организаторы VISION Russia Pavilion & Conference ставят перед собой задачу — выявить актуальные потребности различных отраслей в технологиях машинного зрения и содействовать созданию благоприятной конъюнктуры рынка.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


120

новости

события

Выставка «Radel: Радиоэлектроника и приборостроение»

Реклама

С 28 по 30 октября 2014 года в Санкт-Петербурге пройдет международная специализированная промышленная выставка «Radel: Радиоэлектроника и приборостроение». Ведущие участники рынка хорошо знакомы с данным мероприятием и уже давно забронировали себе места в экспозиции. Авторитет выставки подтвержден специалистами различных регионов России и зарубежных стран — более 80% компаний являются постоянными экспонентами выставки. В 2014 году в Санкт-Петербург приедет около 100 компаний из России, Украины, Белоруссии, Китая, Тайваня и других стран. Большинство экспонентов — дилеры крупных компаний-изготовителей, серьезные игроки радиоэлектронного рынка. Традиционные участники — российские производители источников питания и поставщики радиоизмеритель-

ного оборудования, электронных компонентов, комплектующих, печатных плат и приборов. Отечественные компании, имеющие навык быстрой разработки и выпуска небольших серий приборов, стремятся завоевать специализированные рынки, использовав опыт зарубежных конкурентов. С другой стороны, сегодня развитие отрасли радиоэлектроники и приборостроения трудно представить без тесного взаимодействия российских и зарубежных предприятий, инвесторов и разработчиков. Все необходимые условия для их плодотворного сотрудничества создает выставка «Radel: Радиоэлектроника и приборостроение», являясь площадкой, объединяющей передовые профессиональные группы российских и международных участников. Основная задача данного проекта — демонстрация инновационных технологий, продуктов и решений, помогающая повышению конкурентоспособности отечественной продукции на мировом рынке. В экспозиции выставки представлены электронные компоненты и комплектующие, печатные платы, светотехника, конструктивы, технологии, материалы для производств, измерительные, контрольно-испытательные, диагностические приборы и системы, оборудование и инструменты. Деловая программа раскрывает актуальные вопросы отрасли, позволяет познакомиться с опытом участников. Обучающие семинары и презентации проведут следующие компании: «Остек», «Новые технологии», Завод полупроводниковых приборов, «УниверсалПрибор», EFind.ru, «Александер электрик источники питания», «ПКК Миландр», «АЕДОН», «НИИЭТ». Традиционно выставка «Radel: Радиоэлектро-ника и приборостроение» пройдет совместно с выставками «Автоматизация» и «Промышленная электротехника и приводы». Такое проведение выставок по смежным тематикам позволяет участникам наиболее полно представить свою продукцию и услуги, а посетителям дает возможность оперативного поиска решений по всему комплексу промышленных вопросов. Официальный сайт выставки www.farexpo.ru/radel/exhibition/

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


схематехника

Морис О’Брайен (Maurice O’Brien) maurice.obrien@analog.com Перевод: Михаил Вьюгин mikhail.vyugin@eltech.spb.ru

Введение Важным требованием для промышленных и измерительных приборов, телекоммуникационного и медицинского оборудования является наличие надежного интерфейса для передачи данных. Последовательная шина данных I2C (InterIntegrated Circuit) — это двухпроводная двунаправленная шина, применяющаяся для соединения низкоскоростных интегральных

Рис. 1. Функции изоляции

Рис. 2. Изолированная линия связи PMBus

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

проектирование

121

Проектирование надежных изолированных интерфейсов I2C/PMBus схем, расположенных на небольших расстояниях друг от друга. I2C была разработана компанией Philips в начале 1980‑х годов как простая шина для связи интегральных схем. До сих пор область ее применения продолжает расширяться. PMBus (Power management bus) — относительно медленный двухпроводной протокол, основанный на I2C и предназначенный для управления цифровыми источниками питания. Протокол PMBus определяется открытым

стандартом и облегчает взаимодействие с преобразователями напряжения или другими подключенными устройствами. На рис. 1 показано, как изоляционный барьер гальванически развязывает каждую систему, подключенную к интерфейсу, позволяет цифровым данным проходить между двумя точками, предотвращая утечку тока на «землю». Это уменьшает искажение сигнала и ошибки за счет снижения шума на передающей шине. Печатные платы, использующиеся в телекоммуникационных устройствах, часто содержат преобразователи питания с цифровым управлением и схемы, действующие от разных потенциалов «земли». Для обеспечения безопасной установки и извлечения плат и надежной их работы каждый интерфейс должен быть изолирован, но изоляция интерфейса I 2C достаточно сложна из-за двунаправленного канала передачи данных. Такая задача не решается с помощью одной оптопары, способной передавать сигнал только в одну сторону. На рис. 2 показана линия связи PMBus с цифровой микросхемой мониторинга питания ADM1075, работающая от напряжения питания –48 В на первичной стороне и с напряжением 12 и 3,3 В на вторичной изолированной стороне. ADM3260 — двухканальный изолятор I2C с DC/DC-преобразователем, изолирующий SDA- и SCL-сигналы. К встроенному изолированному источнику питания (3,3V_ISO) подключен двухканальный цифровой изолятор ADUM3200, обеспечивающий гальваническую развязку по сигналам SHDN и RESTART. Поскольку первичный контур работает от –48 В, а вторичный подключен к «земле» с нулевым потенциалом, то требуется изоляция, которая предотвращает необратимые повреждения, способные возникнуть, если порт I2C случайно подключить напрямую к –48 В. Изоляция также обеспечивает защиту от высоких напряжений или токов, вызванных перепадами напряжений в сети или «земляными» петлями, которые могут появляться в схеме с несколькими точками заземления. Изолированный канал питания (3,3V_ISO) позволяет запитать первичную часть схемы от вторичной. Таким образом, пропадает необходимость в независимом низковольтном источнике питания, обычwww.kite.ru


проектирование

122

схематехника

а

б

Рис. 3. Изолированный I2C с усилителем и с: а) АЦП; б) ЦАП

но сложном для проектирования при входном напряжении –48 В. Все дополнительные входные и выходные сигналы, пересекающие изоляционный барьер, требуют изоляторов, которые также можно запитать от ADM3260. Для обеспечения надежной линии передачи данных каждое устройство, подключенное к шине I2C, должно быть изолировано. Примерами изолированных I2C-устройств могут служить: • изолированные интерфейсы: I2C, SMBus или PMBus; • преобразователи уровней интерфейсов I2C для источников питания; • сетевые устройства; • питание через Ethernet (PoE); • телекоммуникационное оборудование и оборудование для передачи данных; • изолированные системы сбора данных; • распределенные силовые системы –48 В; • источники питания –48 В. Изоляторы часто необходимы для передачи данных от прецизионных преобразователей (АЦП или ЦАП) через изолированную шину I2C. На рис. 3 показаны две изолированные системы сбора данных. Эти схемы также требуют изолированного источника питания для преобразователей и усилителей. В некоторых схемах необходима изоляция между каналами, где каждый из каналов изолирован от остальных, как, например, в схеме на рис. 4. В более сложных системах нужно преобразование логических уровней разных стандартов, имеющих разные напряжения. На рис. 5 показана типовая схема телекоммуникационного оборудования с несколькими сетевыми картами, которые подключены к общему питанию с напряжением –48 В. В этом примере изоляторы позволяют передать логические сигналы интерфейса I2C от –48‑В панели в полностью изолированную систему с 12‑В питанием. Изолированное питание для линии связи интерфейса I2C может быть получено с помощью изолированного DC/DC-источника питания или интегрированного DC/DC-преобразователя, выполненного по технологии isoPower от Analog Devices. Изоляция сигнала может быть осуществлена с помощью оптопар или технологии iCoupler от Analog Devices.

Изолированный интерфейс I2C

Рис. 4. Изолированные каналы I2C, АЦП и усилитель

Между программируемыми устройствами (такими как АЦП или ЦАП) на первичной стороне схемы и процессором на вторичной должна идти двунаправленная передача данных. Питание должно передаваться с первичной на вторичную часть схемы. Для получения изолированной линии передачи данных гальванически развязанными должны быть как непосредственно канал передачи данных, так и источник питания. На рис. 6 показана схема изолированного интерфейса I2C.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


схематехника

проектирование

123

Трудности с изоляцией интерфейса I2C Из-за того что интерфейс I2C двунаправленный, обеспечить его гальваническую развязку и при этом избежать импульсных помех и защелкиваний достаточно сложно. На рис. 7 показан интерфейс, выполненный с помощью оптопар. Оптопары, по сути, однонаправленные элементы, поэтому каждая двунаправленная линия I2C разделяется на две. В результате для изоляции интерфейса I2C требуется четыре оптопары и несколько пассивных компонентов. При этом стоимость, площадь и сложность печатной платы существенно увеличиваются, что противоречит идее простого дешевого двухпроводного интерфейса I2C. А ведь помимо сигнала требуется еще и изоляция по питанию.

Технология изоляции: данные и питание

Рис. 5. Изоляция и передача уровней PMBus сигналов в –48‑В схеме

Рис. 6. Изолированный I2C-интерфейс

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

На рис. 8 приведены принципиальные схемы двух технологий изоляции. iCoupler (рис. 8a) использует тонкопленочную технологию производства для создания микротрансформаторов на кристалле кремния, которые обеспечивают изоляцию 2,5 кВ. Более старая, но широко применяемая технология на оптопарах (рис. 8б) предусматривает светоизлучающие диоды (LED) и фотодиоды. LED преобразует электрический сигнал в свет, а фотодиод преобразует свет обратно в электрический сигнал. Низкая эффективность преобразования электрического сигнала в свет ведет к относительно высокому энергопотреблению, медленное реагирование фотодиодов ограничивает скорость передачи сигнала, а старение сокращает срок жизни светодиодов. Применение тонкопленочной технологии производства микротрансформаторов позволяет с минимальными затратами воспользоваться технологией iCoupler для каждого канала передачи данных вместе с другими возможностями полупроводниковых технологий. Например, двухканальный изолятор I2C ADM3260 с возможностью горячей замены имеет встроенный DC/DCпреобразователь. Изоляторы iCoupler лишены многих недостатков, имеющихся у оптопар. Они просты в использовании, занимают меньше места на печатной плате, снижают энергопотребление и общую стоимость разрабатываемого устройства, при этом имеют лучшие характеристики и показатели надежности. Изоляторы iCoupler не страдают ухудшением характеристик во времени, связанным со старением коэффициента передачи по току, что наблюдается у стандартных оптопар. Причем iCoupler — это двунаправленная технология, тогда как оптопары однонаправленные. www.kite.ru


проектирование

124

схематехника

Рис. 9. Дискретный преобразователь –48 В в 5 В для изоляции питания

нена технологией isoPower, расширившей номенклатуру цифровых изоляторов Analog Devices. Обеспечение изоляции до 5 кВ по сигналу и питанию за счет только одного компонента устраняет потребность в отдельной схеме изоляции питания и существенно уменьшает площадь печатной платы, время разработки и общую стоимость системы со стандартной шиной I2C.

Двухканальные изоляторы I2C со встроенным DC/DC-преобразователем

Рис. 7. Изоляция I2С‑интерфейса с помощью оптопар

а

б

Рис. 8. Сравнение технологий изоляции: а) iCoupler-изоляция; б) изоляция с помощью оптопары

До недавнего времени для создания низковольтного источника питания на изолированной стороне требовался относительно большой и дорогой независимый DC/DC-преобразователь либо дополнительная схема на дискретных компонентах (рис. 9). Эти подходы были единственными вариантами как для интерфейсов I2C, так и для других схем, требующих небольшую изоляционную мощность. Для решения этой проблемы компания Analog Devices разработала интегрированные микросхемы, обеспечивающие передачу сигналов и питания через изоляционный барьер, используя микротрансформаторы. Уже хорошо устоявшаяся технология iCoupler была допол-

На рис. 10 сравниваются изолированный интерфейс PMBus, выполненный на дискретных компонентах, и интерфейс на одной интегрированной микросхеме. В варианте на рис. 10а применяются четыре оптопары, изолированный источник питания и сложная аналоговая схема для предотвращения защелкивания и подавления импульсов. Изолированному источнику питания требуется управляющая схема для трансформатора вместе с выпрямителем напряжения и LDO-регулятор для очистки от шумов изолированного питания. Такая схема содержит восемь микросхем и несколько пассивных компонентов, что существенно усложняет интерфейс, увеличивает цену и размеры печатной платы и снижает надежность конечного изделия. Интегрированный вариант обеспечивает полную изоляцию двунаправленного интерфейса I2C и изоляцию питания с помощью одной микросхемы ADM3260 и нескольких развязывающих конденсаторов и подтягивающих резисторов, идущих с любым интерфейсом I2C. ADM3260 свободен от импульсных помех и защелкиваний, обеспечивает изоляцию 2,5 кВ, подтвержденную стандартом UL, и выпускается в 20‑контактном SSOP-корпусе. ADM3260 — это однокристальное решение, которое значительно снижает цену, время разработки и площадь, занимаемую на печатной плате, необходимую для изолированного I2C-интерфейса, при этом увеличивает надежность разрабатываемой схемы. Микросхема может действовать как от напряжения питания 3,3 В, так и от 5 В без дополнительных изменений в схеме, что было бы невозможно при использовании варианта изоляции на дискретных компонентах. Выходная мощность ADM3260 — 150 мВт при 5 В или 65 мВт при 3,3 В, что достаточно для питания АЦП, ЦАП или других небольших устройств на изолированной стороне.

Печатная плата Для того чтобы гарантированно обеспечить изоляцию 2,5 кВ в реальном устройстве, очень важно правильно сконструировать печатную плату. Принципиальные моменты, которые необходимо учитывать, связаны с длиной пути тока утечки по поверхности (кратчайшие расстояния между проводниками по поверхности печатной платы) и c воздушным зазором (кратчайшее расстояние по воздуху) между «землями» первичной и вторичной стороны схемы. На рис. 11

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


схематехника

проектирование

125

б

а Рис. 10. Сравнение схем изолированных I2C: а) схема на дискретных элементах; б) интегрированная микросхема

приведена схема конструкции печатной платы для микросхемы ADM3260 с рекомендованным расположением фильтрующих элементов рядом с контактами питания.

ADM3260: применения и особенности

Рис. 11. Рекомендованная разводка печатной платы для ADM3260

Рис. 12. ADM3260 — изолированный I2C/PMBus-интерфейс

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

ADM3260 — изолятор с возможностью горячей замены, обеспечивающий изоляцию по каналу передачи данных и по питанию. Два двунаправленных канала передачи данных без защелкивания поддерживают полностью изолированный интерфейс I2C/PMBus, а встроенный DC/DC-преобразователь обеспечивает изолированное питание от 3,15 до 5,25 В мощностью до 150 мВт. Двунаправленная передача данных устраняет необходимость в разделении сигналов I2C/PMBus на два отдельных канала приема и передачи, что используется в устаревшей схеме на оптопарах, а встроенный DC/DC-преобразователь позволяет получить полностью изолированный интерфейс I2C/PMBus в миниатюрном корпусе. ADM3260, показанный на рис. 12, доступен в 20‑контактном SSOP-корпусе шириной 5,3 мм и работает в температурном диапазоне от –40 до +105 °C. Дополнительно ADM3260 может применяться для изоляции систем сбора данных в жестких промышленных условиях эксплуатации, для обеспечения питания и передачи логических уровней через Ethernet, а также в устройствах с шиной I2C, требующих горячего отключения. www.kite.ru


проектирование

126

Выводы Изолированные линии передачи данных I 2C/PMBus в промышленности, приборостроении, телекоммуникации и медицине должны иметь небольшие размеры, быть надежными и недорогими. Благодаря интеграции в одну микросхему нескольких

новости

схематехника

изолирующих микротрансформаторов появилась возможность на базе одной микросхемы осуществить полную изоляцию канала передачи данных I 2C/PMSus вместе с DC/DC-преобразователем. Двухканальный изолятор I 2 C ADM3260 со встроенным DC/DC-преобразователем и возможностью горячей замены — это компактная, надеж-

ная, дешевая микросхема с прекрасными характеристиками для ресурсоемких разработок, позволяющая значительно снизить n сложность схемы и время разработки.

Литература 1. http://www.analog.com/library/analogDialogue/ archives/48-07/isolated_interfaces.html

события

Семинар «Передовые технологии портфельных компаний “Роснано”» • cоздание и модернизация высокотехнологичных производств, научных лабораторий и R&D центров; • перенос технологических процессов заказчика на производственные площади компании «ЭСТО-Вакуум»: отработка техпроцессов, получение опытных образцов, мелкосерийный выпуск; • комплекс работ по проектированию и управлению проектами построения производств; • сервисное обслуживание: диагностика, тестирование и восстановление оборудования, технологическая поддержка поставленного оборудования; строительные работы и монтаж инженерных сетей. Дата семинара: 21 октября 2014 года. Начало в 10:00, окончание в 13:00. Место проведения: Москва, пр. 60‑летия Октября, 10а, ОАО «Роснано». Участие в семинаре бесплатное по предварительной регистрации. Язык мероприятия — русский. По вопросам регистрации на семинар необходимо обращаться к Наталье Лим (Beneq): e‑mail: russia@beneq.com Тел.: +7 (921) 564-61-70, +7 (812) 332-01-54 www.beneq.com

Реклама

«Роснано» приглашает инженеров, технологов, конструкторов и руководящий состав промышленных предприятий, применяющих функциональные покрытия и заинтересованных в передовых технологиях, на семинар «Передовые технологии портфельных компаний ОАО «Роснано» — Beneq и «ЭСТО-Вакуум» и их решения для применения в промышленности и в ВПК». Компания Beneq — поставщик промышленного и исследовательского оборудования для нанесения тонких покрытий методом атомно-слоевого осаждения и производитель тонкопленочных и прозрачных электролюминесцентных дисплеев Lumineq. Оборудование компании Beneq используется для нанесения покрытий в области фотовольтаики, гибкой электроники и в других развивающихся технологических сферах. Компания Beneq вывела на рынок несколько революционных технологий, таких как рулонное атомно-слоевое осаждение (Roll-to-Roll ALD) и высокопроизводительные атмосферные аэрозольные покрытия (nAERO). Основные направления деятельности компании «ЭСТО-Вакуум»: • разработка и производство высокотехнологичного вакуумного оборудования; • подбор, поставка и пусконаладка технологического оборудования для наукоемких производств;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


128

проектирование

САПР

Разработка схемы электрической принципиальной в программной среде NI Circuit Design Suite — Multisim 12.0. Часть 1

Татьяна Колесникова beluikluk@gmail.com

Введение NI Multisim используется в мире программного обеспечения для проектирования электрических схем, их тестирования и отладки. В комплект продуктов NI Multisim входят средства для описания электрических схем, их эмуляции (SPICE, VHDL и patented cosimulation), а также для разработки и автоматической трассировки печатных плат (NI Ultiboard). NI Multisim — одна из наиболее популярных в мире программ конструирования электронных схем, характеризующаяся сочетанием профессиональных возможностей и простоты, расширяемостью функций от простой настольной системы до сетевой корпоративной. Это объясняет широкое распространение данной программы как для учебных целей, так и для промышленного производства сложных электронных устройств. Multisim 12.0 — последняя версия среды схемотехнического проектирования и моделирования, которая позволяет специалистам оптимизировать свои проекты, минимизировать ошибки и сократить число итераций при разработке. В сочетании с новым NI Ultiboard 12.0 — программным обеспечением для проектирования топологии печатных плат — Multisim представляет собой платформу сквозного проектирования. Тесная интеграция со средой графической разработки NI LabVIEW помогает специалистам различного уровня внедрять собственные алгоритмы анализа и улучшать верификацию своих проектов. К преимуществам программы Multisim 12.0 можно отнести:

Первым этапом проектирования узла печатной платы в системе Multisim является разработка схемы электрической принципиальной. На этой стадии проектирования осуществляется выбор необходимых компонентов, их размещение в рабочем поле чертежа, связь компонентов при помощи цепей и шин. При необходимости система Multisim позволяет модифицировать свойства компонентов, добавлять текстовые надписи, производить измерения электрических параметров при помощи виртуальных приборов и моделирование схемы.

• Простой в использовании, интуитивно понятный графический редактор, позволяющий достаточно просто создавать в рабочей области проекта схемы электрические. • Большая открытая (включена возможность пополнения пользователем) библиотека аналоговых и цифровых электронных компонентов. • С системой Multisim 12.0 поставляется набор примеров электрических схем. Схемы представлены в виде файлов практических разработок, которые при необходимости могут быть модифицированы пользователем под конкретную задачу. • Система Multisim 12.0 разрешает подключать к вновь созданному проекту библиотеки электронных компонентов более старых версий программы. • В распоряжении разработчика широкий выбор контрольно-измерительных приборов, передние панели которых с органами управления максимально приближены к промышленным аналогам. • Возможность подключения виртуальных приборов программы LabView. • Возможность получения наглядно оформленных результатов исследования.

Проектирование схемы электрической принципиальной При проектировании узла печатной платы проектировщик обычно получает вместе с техническим заданием исходную электрическую схему этого узла на бумаге. На электрической схеме изображаются символы компонентов, электрические связи между

ними, текстовая информация, таблицы, буквенно-цифровые обозначения и основные надписи. После создания пустого листа схемы его нужно заполнить символами необходимых компонентов из библиотеки. В Multisim по умолчанию пустой лист проекта формируется при запуске программы. Создать новый пустой лист схемы можно при помощи команды «Файл» → «Новый» → «Создать схему». С системой Multisim 12.0 поставляется набор примеров электрических схем. Открыть примеры можно при помощи команды «Файл» → «Открыть примеры». При необходимости пользователь модифицирует данные схемы под конкретную задачу. Multisim имеет многооконный интерфейс, что позволяет работать с несколькими схемами во время одного сеанса. Окно программы представлено на рис. 1. Размещение символов компонентов на схеме Произвести выбор символов компонентов из базы данных для последующего их размещения в рабочей области программы можно в окне «Выбор компонента» (рис. 2), которое открывается командой основного меню «Вставить» → «Компонент». В левой верхней части окна «Выбор компонента» расположено меню «База данных», в нем из выпадающего списка осуществляется выбор базы данных компонентов. Ниже меню «База данных» находится меню «Раздел», в котором из выпадающего списка можно выбрать нужную библиотеку компонентов базы данных Multisim. В поле «Семейство» расположены все группы семейств компонентов вы-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


САПР

проектирование

129

Рис. 1. Окно программы Multisim

бранной библиотеки, в то время как в поле «Компонент» отображаются все компоненты выбранного семейства. Выбор компонента производится посредством выделения при помощи левой кнопки мыши строки с названием компонента в поле «Компонент». Для ускорения поиска компонентов можно воспользоваться строкой фильтра. После того как выбор компонента сделан, его условное графическое обозначение отобразится в поле предварительного просмотра «Символ (ANSI)». Для того чтобы разместить выбранный компонент на схеме, необходимо в окне «Выбор компонента» нажать на кнопку ОК,

Рис. 2. Окно «Выбор компонента»

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

после чего данное окно будет закрыто, а символ компонента будет прикреплен к курсору мыши, при помощи которого следует поместить символ в нужное место на схеме. При добавлении в схему символов многосекционных компонентов отображается диалоговое окно, в котором секции компонента представлены в виде вкладок, чье количество соответствует количеству секций компонента. Для размещения нужной секции на схеме выберите при помощи левой кнопки мыши на панели секций название секции, а затем щелкните левой кнопкой мыши в необходимом месте рабочего поля программы (рис. 3).

Рис. 3. Панель секций и две секции символа компонента в рабочем поле программы

Другие секции компонента добавляются в проект аналогичным способом. Надо отметить, что при размещении на схеме символов резисторов, катушек индуктивности, конденсаторов есть возможность задавать такие параметры компонентов, как значение (например, сопротивление), тип (в частности, керамический конденсатор), допуск, производитель. Для размещения символа резистора, катушки индуктивности или конденсатора на схеме требуется открыть окно «Выбор компонента» и в поле «Раздел» выбрать пункт Basic, а затем в поле «Семейство» при помощи левой кнопки мыши указать необходимое семейство: • RESISTOR — резисторы; • INDUCTOR — катушки индуктивности; • CAPACITOR — конденсаторы. В следующих полях окна «Выбор компонента» (рис. 4) можно задать: • значение компонента — поле «Компонент»; • тип — поле «Тип компонента»; • допуск — поле «Допуск (%)»; www.kite.ru


130

проектирование

САПР

Рис. 4. Настройка в окне «Выбор компонента» параметров конденсатора для последующего его размещения на схеме

• производитель — поля «Производитель модели/ID», «Производитель корпуса/ Тип». Для того чтобы разместить выбранный компонент на схеме, нажмите в окне «Выбор компонента» на кнопку ОК. Если вы собираете схему только для симуляции и не предполагаете дальнейшее проектирование устройства в программе NI Ultiboard (программа для разработки печатных плат, которая входит в полную версию пакета NI Multisim), то в поле «Тип компонента» можно указать значение no type. Если в поле «Допуск (%)» отсутствует необходимое значение допуска, его можно вписать вручную. В поле «Ссылка» можно ввести интернет-адрес сайта производителя компонента. На схеме расположение символов компонентов можно изменять — поворачивать, отражать. Если в этом есть потребность, выделите нужный символ при помощи левой кнопки мыши, правой кнопкой мыши вызовите контекстное меню, в котором левой кнопкой мыши выберите необходимую команду: • «Развернуть по горизонтали» — отразить выбранный символ по горизонтали; • «Развернуть по вертикали» — отразить выбранный символ по вертикали; • «90 по часовой» — повернуть выбранный символ на 90° по часовой стрелке; • «90 против часовой» — повернуть выбранный символ на 90° против часовой стрелки. Также для изменения положения символов компонентов на схеме можно использовать комбинации функциональных клавиш: • Alt+X — отразить по горизонтали; • Alt+Y — отразить по вертикали; • Ctrl+R — поворот на 90° по часовой стрелке; • Ctrl+Shift+R — поворот на 90° против часовой стрелки.

Рис. 5. Окно «Схемные установки»

При необходимости в Multisim есть возможность заменять уже размещенные в рабочем поле проекта символы компонентов. Для этого выделите при помощи левой кнопки мыши тот символ компонента, который нужно заменить, правой кнопкой мыши вызовите контекстное меню и выберите в нем команду «Заменить компонент». В результате будет открыто окно «Выбор компонента», в котором необходимо выбрать новый символ компонента и нажать на кнопку ОК. Замена будет произведена. Однако в том случае, если символ был частью схемы, связующие проводники, соединяющие символ и схему, исчезнут и их придется восстановить. Multisim позволяет управлять цветом рабочего поля программы. По умолчанию цвет рабочей области белый, но при желании его нетрудно изменить. Сделать это можно в окне «Схемные установки», которое вызывается командой меню «Установки/Схемные установки». Для изменения цвета в окне «Схемные установки» нужно перейти на вкладку «Цвета» (рис. 5) и в поле «Цветовая схема» в меню из выпадающего списка выбрать один из пунктов: • «Черное поле»; • «Белое поле»; • «Белый & черный»; • «Черный & белый»; • «Выбрать». В том случае если в меню установлено значение «Выбрать», разработчик получает возможность не только управлять цветом фона рабочего поля программы, но и производить настройку цвета следующих объектов: • текст; • компонент с моделью; • компонент без модели; • компонент без корпуса; • проводник;

Рис. 6. Окно «Палитра»

• соединитель; • выбор (штриховая линия выделения объектов схемы); • шина; • ИБ/ПС. Настройка цвета выполняется нажатием на цветную иконку, расположенную рядом с названием объекта, цвет которого нужно изменить, и выбором необходимого цвета из палитры в окне «Палитра» (рис. 6). При этом цветные иконки отображают настоящий цвет объектов схемы. Для вступления в силу внесенных изменений нажмите на кнопку «Применить» или ОК в окне «Схемные установки». Соединение символов компонентов на схеме Для связи между компонентами в схеме используют цепи и шины. Для добавления цепи в схему предусмотрена команда «Проводник» из меню «Вставить», для добавления шины — команда «Шину». После выбора из меню необходимой команды курсор приобретет вид крестика. В Multisim

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


САПР

проектирование

131

Рис. 8. Соединение контактов двух символов компонентов примыканием

Рис. 7. Автоматическое соединение символа компонента и проводника

соединение символов компонентов на схеме при помощи цепи может быть произведено несколькими способами: • автоматическим соединением; • соединением примыканием; • ручным соединением. Для того чтобы с помощью цепи соединить контакты символов, следует подвести курсор к выбранному контакту и щелкнуть по нему левой кнопкой мыши, затем протянуть курсор до следующего контакта и также щелкнуть по нему левой кнопкой мыши — цепь создана. В процессе создания схемы может возникнуть необходимость соединить контакт символа с цепью. В таком случае, после подведения курсора к выбранному контакту, с которым будет соединена цепь, требуется щелкнуть по нему левой кнопкой мыши и протянуть курсор до места соединения с другой цепью, затем также щелкнуть в этом месте левой кнопкой мыши — система сформирует узел в месте стыковки создаваемой цепи с уже существующей. Такое соединение называется автоматическим. Есть еще один способ прокладывания цепей — соединение контактов символов примыканием. Для реализации этого способа переместите подсоединяемый символ так, чтобы конец его входного контакта совпал с концом выходного контакта символа компонента, к которому производится подсоединение (в месте соединения должна появиться небольшая точка, символизирующая, что контакты удачно состыковались), и щелкните левой кнопкой мыши для его размещения на схеме, затем перетащите мышью символ в нужное место на схеме (при этом цепь проложится за символом). Пример автоматического соединения символа компонента и проводника представлен на рис. 7. Последовательность действий в данном примере разбита на пять шагов: 1. Шаг 1: на рисунке представлены два символа, уже соединенные между собой проводником. 2. Шаг 2: демонстрирует добавление нового символа в рабочую область чертежа. 3. Шаг 3: производится перемещение нового символа до контакта с проводником. При этом соединение с проводником происходит автоматически после того, как левая кнопка мыши отпущена. 4. Шаг 4: выделим символ при помощи левой кнопки мыши и переместим его в новое место. 5. Шаг 5: проводник был проложен за символом. Рисунок 8 демонстрирует пример соединения двух символов компонентов примыканием. Последовательность действий в данном примере представлена в виде четырех шагов:

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

1. На первом шаге на рисунке представлены размещенные в рабочем поле чертежа два символа компонента. 2. На втором шаге производится перемещение второго символа до контакта с первым символом. При этом в месте соединения появляется цветная точка, символизирующая, что стыковка контактов символов произошла удачно. После того как левая кнопка мыши отпущена, соединение выполняется автоматически. 3. На третьем шаге переместим второй символ компонента в новое место на чертеже. 4. На четвертом шаге проводник был проложен за символом. Для соединения контактов двух символов компонентов вручную при помощи цепи выберите в меню «Вставить» пункт «Проводник», щелкните левой кнопкой мыши по выводу первого символа (курсор приобретет вид крестика). Потяните курсор в сторону следующего контакта, при этом появится проводник, прикрепленный к курсору. При движении мышки управляйте направлением соединения щелчками левой кнопки мыши в точках изменения маршрута соединения, каждый щелчок левой кнопки мыши прикрепляет проводник к проложенным точкам. Рис. 9 демонстрирует ручной способ соединения контактов символов компонентов. При использовании такого способа соединения прокладываемый проводник автоматически обходит символы компонентов, с которыми нет соединения (рис. 10). Ручной способ соединения контактов символов компонентов рекомендуется использовать для трудных, критических маршрутов проводников, так как он является более сложным. Можно применить и комбинированное соединение — автоматическое и ручное в одной схеме.

Рис. 9. Ручное соединение контактов символов компонентов

Рис. 10. Проводник автоматически обходит символы компонентов, с которыми нет соединения

www.kite.ru


132

проектирование

САПР

имени цепи на схеме задается установкой флажка в чекбоксе «Показать имя». Кроме того, на вкладке «Цепь» можно изменить цвет цепи. Делается это посредством выбора нужного цвета в окне «Палитра». Данное окно вызывается при помощи нажатия на цветную иконку в поле «Цвет цепи». Для того чтобы выполненные на вкладке «Цепь» изменения вступили в силу, нажмите на кнопку «Применить» или ОК. Рис. 11 демонстрирует цепь с присвоенным ей именем, а также окно «Установки цепи».

Рис. 11. Цепь с присвоенным ей именем, а также окно «Установки цепи»

Рис. 12. Поле ввода текстовой надписи на схеме и окно «Текст»

Для большей гибкости в процессе соединений в Multisim можно начинать и заканчивать соединение в «воздухе», то есть без прикрепления проводника к контакту символа компонента, или начинать из прежде установленной точки соединения. Для размещения проводника в «воздухе» выберите в меню «Вставить» пункт «Проводник», щелкните левой кнопкой мыши в области чертежа (этим действием вы создадите начальную точку соединения), переместите курсор для того, чтобы проложить проводник, после чего щелкните два раза левой кнопкой мыши в области чертежа для завершения прокладки проводника (таким образом вы создадите конечную точку соединения). Иногда возникает необходимость модификации маршрута соединения в схеме. Для того чтобы изменить расположение проводника, выделите его при помощи левой кнопки мыши (на проводнике появятся несколько точек «перетаскивания»), щелкните левой кнопкой мыши по одной из них и перетащите при помощи мыши соединение, меняя его маршрут. Точки «перетаскивания» можно добавлять или удалять. Для этого нажмите на клавиатуре клавишу Ctrl и щелкните левой кнопкой мыши по проводнику в месте, где вы хотите добавить или удалить точку «перетаскивания». Также изменять маршрут соединения можно, перемещая сегмент проводника. Для этого выделите проводник при помощи левой кнопки мыши,

поместите курсор над сегментом проводника (курсор примет вид двойной стрелки), щелкните левой кнопкой мыши по сегменту и переместите его при помощи мыши, меняя маршрут соединения. Цвет проводников на схеме можно изменять. Для того чтобы изменить цвет проводника или цвет сегмента проводника, щелкните правой кнопкой мыши на проводнике и в открывшемся контекстном меню выберите пункт «Цвет цепи» или «Цвет сегмента». В открывшемся окне «Палитра» выберите необходимый цвет и нажмите на кнопку ОК. В результате проводник на схеме отобразится в новом цвете. Там, где несколько цепей идут по общему пути, используются шины. Шина группирует цепи, упрощая читаемость схемы. Для добавления шины в схему предусмотрена команда «Шину» из меню «Вставить». Именование цепей Для повышения читаемости каждой цепи в схеме можно присвоить имя. Для именования цепей щелкните два раза левой кнопкой мыши по проводнику, в результате чего будет открыто окно «Установки цепи». По умолчанию каждой цепи при создании присваивается автонаименование, которое отображается в поле «Имя цепи» на вкладке «Цепь». Новое название цепи можно ввести в поле «Предпочтительное имя цепи». Видимость

Нанесение надписей на схему Надписи — это исключительно важная часть схемотехнического документа. Внешний вид надписей определяется шрифтом, то есть характером начертания букв и цифр. Для нанесения надписей в схеме электрической принципиальной в системе Multisim необходимо в меню «Вставить» выбрать пункт «Текст» (при этом вид курсора изменится), подвести курсор к желаемому месторасположению надписи и щелкнуть левой кнопкой мыши в этой части схемы. Затем откроется окно «Текст», в котором можно задать: • шрифт надписи; • размер шрифта; • начертание (курсив, жирный); • цвет текста; • выравнивание (по центру, по левому краю, по правому краю). Необходимый текст вводится в текстовом поле с мигающим курсором, при этом размеры текстового поля будут увеличиваться по мере ввода текстового блока. После того как ввод текста закончен, щелкните левой кнопкой мыши в произвольном месте в рабочей области программы. На рис. 12 представлено текстовое поле на схеме, а также окно «Текст». Для удаления текста щелкните правой кнопкой мыши по текстовому блоку на схеме и в открывшемся контекстном меню выберите пункт «Удалить». Удалить текстовую надпись можно и при помощи кнопки Delete на клавиатуре. Для этого выделите текстовую надпись левой кнопкой мыши и нажмите кнопку Delete. Вывод схемы электрической принципиальной на печать После окончания создания схемы электрической принципиальной может возникнуть необходимость вывести ее на печать. Распечатать весь проект или вывести на печать лист схемы можно командой меню «Файл/Печать». Также, перед тем как отправить чертеж на печать в Multisim, есть возможность перейти при помощи команды меню «Файл/Просмотр печати» в окно предварительного просмотра (рис. 13). Если вас все устраивает — отправляйте чертеж на печать. Для этого нажмите на кнопку «Печать» в левом верхнем углу окна предварительного просмотра. В противном случае нажмите на кнопку «Закрыть» для закрытия окна

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


САПР

Рис. 13. Окно предварительного просмотра

Рис. 14. Окна «Печать» и «Свойства: принтера»

предварительного просмотра и возврата в окно рабочей области чертежа. После внесения необходимых изменений вы можете снова перейти в окно предварительного просмотра и, если на этот раз представленный результат вас удовлетворит, отправить схему на печать. После запуска команды основного

меню «Файл/Печать» или же после нажатия в окне предварительного просмотра на кнопку «Печать» откроется окно «Печать», в котором на панели «Копии» в поле «Число копий» следует указать количество копий выводимого на печать документа. Значение чекбокса «Разобрать по копиям» на панели

Рис. 15. Окна «Печать» и «Свойства: Microsoft Office Document Image Writer»

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

проектирование

133

«Копии» означает порядок вывода копий документа на печать. Поле «Диапазон печати» (диапазон выводимых на печать страниц) может принимать такие значения: • «Все» — вывод на печать всего проекта; • «Страницы с» — вывод на печать конкретно заданных листов; • «Выделенный фрагмент» — печать фрагмента схемы. Печать можно производить на бумажные носители или в файл. Для вывода схемы на печать на принтер (плоттер) необходимо в окне «Печать» на панели «Принтер» из выпадающего списка в поле «Имя» выбрать принтер, на который вы планируете отправить схему на печать, и нажать на кнопку «Свойства» для того, чтобы настроить параметры печати для своего принтера. Рис. 14 демонстрирует окно «Печать», параметры которого настроены для печати схемы на принтере, а также окно «Свойства: принтера» (это окно открылось после нажатия на кнопку «Свойства»). Для печати чертежа в файл необходимо на панели «Принтер» из выпадающего списка в поле «Имя» выбрать строку «Microsoft Office Document Image Writer» и нажать на кнопку «Свойства». В открывшемся окне «Свойства: Microsoft Office Document Image Writer», на вкладке «Дополнительно» укажите расширение файла, в который будет сохранена схема и разрешение изображения. В поле «Папка по умолчанию» задайте местоположение создаваемого файла схемы. Для вступления в силу внесенных изменений нажмите на кнопку ОК. Рис. 15 демонстрирует окно «Печать», параметры которого настроены для печати схемы в файл, а также окно «Свойства: Microsoft Office Document Image Writer». При помощи команды основного меню программы «Файл/Установки печати/Параметры листа» можно настроить опции печати. После запуска этой команды откроется диалоговое окно «Установки параметров листа» (рис. 16), в котором можно установить: • границы печати страницы (поле «Поля»); • масштаб страницы (поле «Масштаб»);

Рис. 16. Окно «Установки параметров листа»

www.kite.ru


проектирование

• ориентацию страницы: книжная, альбомная (поле «Ориентация»); • опции вывода (поле «Печатать»): – «Ч/Б» — печать схемы в черно-белом цвете, – «Приборы» — печать схемы и панелей приборов, используемых в схеме на отдельных листах, – «Фон» — при выводе схемы на печать будет отображен фон рабочей области чертежа, – «Текущий лист» — печать схемы, размещенной в активном в настоящий момент окне рабочей области проекта, – «Текущий лист и подсхемы» — печать схемы, размещенной в активном в настоящий момент окне рабочей области проекта, и подсхем и иерархических блоков, которые содержит данное окно, – «Вся разработка» — печать всех схем, подсхем и иерархических блоков, а также всех страниц проекта, включенных в текущее активное окно. Для того чтобы закончить работу с окном «Установки параметров листа», нажмите на кнопку «Установить» или ОК. После того как в рабочей области проекта схема собрана, можно запускать моделирование. Процесс моделирования запускается при помощи кнопки «Пуск», которая находится на панели инструментов «Моделирование». Данную панель можно добавить в проект командой меню «Вид» → «Панель инструментов». Также для запуска моделирования

САПР

можно воспользоваться функциональной клавишей F5 на клавиатуре. Сохранить разработанную схему можно командой «Файл» → «Сохранить» и «Файл» → «Сохранить как» основного меню программы.

Заключение Неотъемлемым этапом разработки электронных устройств является физическое или математическое моделирование. Поскольку физическое моделирование в большинстве случаев может быть достаточно дорогостоящим, целесообразнее проводить математическое моделирование программным способом. Программа Multisim не только позволяет снизить сроки и стоимость разработки, но и предоставляет разработчику ряд инструментов анализа, либо недоступных при физическом моделировании, либо имеющих чрезвычайно высокую стоимость. n

Литература 1. NI Circuit Design Suite — Getting Started with NI Circuit Design Suite, National Instruments. January 2012. 2. NI Multisim — Fundamentals, National Instruments. January 2012. 3. PROFESSIONAL EDITION RELEASE NOTES NI Circuit Design Suite Version 12.0.1. National Instruments, 2012. 4. Multisim, Multisim User Guide, National Instruments. January 2007.

новости

события

Серия технических семинаров, посвященных применению ПЛИС серии Speedster от Achronix Официальное представительство компании Achronix в России, «Лаборатория Высокопро-пускных СБИС», начинает проведение серии технических семинаров, посвященных применению ПЛИС сегмента Hi-End Speedster22i. Новейшие ПЛИС Speedster22i выпускаются по самому современному 22‑нм техпроцессу на заводах Intel. Данная серия ПЛИС преимущественно предназначена для применения в высокоскоростном (например, 100G Ethernet) телекоммуникационном оборудовании и имеет набор аппаратных ядер, поддерживающих высокоскоростные коммуникационные интерфейсы. Семинары проводятся в уютной обстановке в ближайшем пригороде СанктПетербурга. Участие бесплатное, по предварительной записи. Для участия в семинаре обращаться по телефону: +7 (812) 648-00-86 или по электронной почте: sales@achronix.ru. www.achronix.ru

Реклама

134

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


136

проектирование

САПР

Автоматизированное создание документации на печатные платы в новой программе OrCAD Documentation Editor

Анатолий Сергеев

С

егодня САПР печатных плат способны обеспечить инженеров всем необходимым для создания проектов разной сложности. Однако есть вопросы проектирования, которые, несмотря на постоянные обновления САПР печатных плат, решаются недостаточно эффективно. Один из таких вопросов — подготовка и оформление документации для производства и монтажа. Наличие полного пакета проверенной и утвержденной документации на печатную плату является важным требованием, особенно если речь идет о военном, аэрокосмическом и других ключевых сегментах радиоэлектроники. Несмотря на это, оформление документации в популярных САПР ПП, например в P‑CAD и даже в Altium Designer, достаточно затруднительно, так как инструменты для решения этой задачи, по сути, остались на уровне 1980‑х годов. САПР ПП стоимостью сотни тысяч рублей за одно рабочее место банально не имеют системы проверки орфографии для тех же технических требований, размещаемых на сборочном чертеже, хотя подобная функция давно реализована в текстовых процессорах стоимостью несколько тысяч рублей. Многие САПР лишены возможности создавать тексты с нумерацией параграфов, что заставляет пользователей писать каждый пункт в технических требованиях

Создание документации на печатную плату — это сложная кропотливая работа, требующая значительных временных затрат и хорошей квалификации инженера. Существующие инструменты САПР печатных плат (ECAD), несмотря на их бурное развитие, не способны в полной мере решать данную задачу. Использование механических САПР (MCAD) предоставляет больше возможностей в части оформления документации, но данные в MCAD не имеют прямой связи с данными ECAD, вследствие чего все изменения приходится вносить вручную, теряя драгоценное время, и здесь велика вероятность возникновения ошибок. Компания Cadence Design Systems Inc., известная на российском рынке системами проектирования печатных плат OrCAD и Allegro, представляет новый продукт — OrCAD Documentation Editor, который способен убрать барьеры на пути к ускоренной, интуитивной и автоматизированной подготовке документации на печатную плату.

отдельными текстовыми строками. С применением устаревших инструментов черчения тратится бесчисленное количество времени на создание подробных видов платы, вариантов установки компонентов, таблицы сверловки, чертежа стека слоев, всевозможных сносок и т. д. Любые изменения в топологии, например формы полигона, месторасположения отдельных компонентов, количества и диаметров отверстий, приводят к тому, что инженеру приходится перерисовывать графику на всех уже имеющихся чертежах. Такие изменения зачастую вносятся не один раз. Ряд компаний использует механические САПР (MCAD), которые, конечно, превосходят электрические (ECAD) в части оформления и подготовки документации. Тем не менее трансляция данных в MCAD влечет за собой потерю прямой интеллектуальной связи с данными ECAD. Компоненты преобразуются в простейшие фигуры, трассы — в линии, области металлизации — в механические полигоны и т. д. Создание таблиц, технических требований, простановка размеров, безусловно, происходит быстрее, однако интеллектуальное изменение данных в перечне компонентов невозможно в MCAD. При внесении изменений в топологию в ECAD все данные также должны быть изменены или загружены пользователем вручную в MCAD. Это соз-

дает дополнительный набор файлов к уже имеющемуся пакету с документацией различных версий. Синхронизация данных между ECAD и MCAD ложится тяжким грузом на плечи инженера. Основной вывод из вышесказанного — создание документации штатными средствами ECAD-систем недостаточно автоматизировано или попросту невозможно. Каждый проект печатной платы имеет свои особенности в документации. Строгие стандарты для военного, аэрокосмического, автомобильного и других сегментов электроники должны учитываться при создании нужного набора документов. Причем требования к ним не ограничиваются со стороны одного отдела предприятия. Многие многоэтапные процессы на производстве печатных плат имеют специфические регламенты по документации. Это инструкции для исправления брака, описание этапов сборки, чертеж панели, чертеж для контроля монтажа и многое другое. Полный комплект документации зачастую не ограничивается лишь детальным и сборочным чертежом печатной платы. Это еще больше усложняет задачи, стоящие перед инженером. Компания Cadence Design Systems Inc., один из ведущих мировых производителей САПР электроники, объявила о выпуске нового приложения для подготовки до-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


САПР

проектирование

137

Рис. 1. Общий вид окна программы OrCAD Documentation Editor

кументации на печатные платы — OrCAD Documentation Editor. Программа тесно интегрирована с популярными системами разработки печатных плат OrCAD PCB Designer и Allegro PCB Designer. OrCAD Documentation Editor (рис. 1) — это программа для автоматизации процесса создания документации на печатные платы, которая значительно экономит время в сравнении с традиционными методами работы. Она автоматически запускается из меню OrCAD или Allegro. Интерфейс программы чем-то напоминает Microsoft Visio и обладает всеми привычными характеристиками Windows-приложений. OrCAD Documentation Editor предлагает новую методику подготовки пакета документации для производства, монтажа и тестирования печатной платы, при которой все изменения в топологию автоматически вносятся в уже созданные чертежи и все соответствующие документы. Все данные из PCB Editor в OrCAD DE (рис. 2) передаются с помощью нового формата обмена производственными данными IPC 2581. Специальный интерактивный помощник подскажет инженеру, какие элементы и какие чертежи можно вывести на экран для текущего проекта в OrCAD DE. На детальном и сборочном чертежах автоматически размещаются различные виды платы, технические требования, таблица сверловки, схема слоев. Любой такой элемент инженер может выбрать на рабочей панели программы и просто перетащить на свобод-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 2. Запуск OrCAD DE из OrCAD/Allegro PCB Editor

ное место листа (рис. 3). Каждый из добавленных элементов можно легко, независимо друг от друга, переносить, масштабировать, дорисовывать, вращать, зеркалить и т. п. В MCAD-системах изображения на чертежах, виды, схемы и всевозможные таблицы формируются из созданной инженером полной 3D-модели детали или сборки с использованием библиотеки стандартных элементов. В OrCAD DE такой 3D-моделью является файл топологии, созданной в OrCAD/Allegro PCB Editor, и все виды, изображения слоев, таблица сверловки и т. д. автоматически

формируются на основе данного файла. Нет никаких ограничений на количество размещаемых на чертеже элементов (рис. 4). Возможности программы включают простановку стандартных размеров и допусков, координатных размеров, возможность выводить чертеж стека для глухих и слепых отверстий и многое другое. При размещении вида установки радиоэлемента на печатную плату в технические требования может быть автоматически добавлен новый пункт, на который будет ссылаться данный вид. Такая же гиперссылка может быть установлена для таwww.kite.ru


138

проектирование

САПР

Рис. 3. Рабочие панели OrCAD Documentation Editor

Рис. 4. Стандартные элементы детального чертежа

Рис. 5. Формирование гиперссылок на сборочном чертеже

Рис. 6. Состав электронного пакета документации

блицы с описанием вариантов установки радиоэлементов на плате (рис. 5). При выборе элемента в спецификации он будет автоматически подсвечиваться на плате. Данные о компонентах в спецификации берутся из их свойств. Вы также можете подключить свою базу данных OrCAD Capture CIS для извлечения из нее данных для перечня элементов или спецификации. Вы можете создать несколько вариантов сборки одной платы и все соответствующие этому варианту документы. Дополнительная информация в виде аудио-, видеофайлов, изображений в формате .jpeg, .bmp, .gif и .tiff может быть добавлена к чертежам проекта и храниться вместе с электронным пакетом документации. Одной из важных особенностей OrCAD DE является поддержка русских шрифтов и возможность настроить шаблоны элементов чертежа в соответствии с ГОСТ ЕСКД. Это касается в том числе основных надписей и штампов, таблицы сверловки, различных обозначений и видов, спецификации и других элементов. Как правило, на предприятии уже имеется база стандартных обозначений шероховатости, видов крепления и монтажа компонентов, механических деталей на плате, отверстий и других шаблонов, используемых инженерами при оформлении документации в MCAD-системе. Все эти наработки можно передать в OrCAD DE с помощью формата .dxf и использовать затем как обозначения по умолчанию. Если в файле топологии в OrCAD PCB Editor происходят изменения, то все чертежи и их элементы, а также перечень и спецификация обновляются в OrCAD DE автоматически. Таким образом, устраняется рутинная ручная работа по уточнению всего объема данных, как это делается в MCAD-системе. Это касается как незначительных, так и серьезных изменений в топологии. OrCAD

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


САПР

139

на специально для разработчиков печатных плат с целью максимально автоматизировать процесс создания и оформления документации на печатную плату. OrCAD DE использует данные OrCAD/Allegro PCB Editor напрямую, что позволяет автоматически вносить изменения во все виды, таблицы и перечень элементов на чертежах при изменении топологии. Программа разрешает сформировать полноценный электронный пакет документации, контролировать различные версии данного пакета, при необходимости хранить в нем дополнительные внешние данные, такие как аудио- и видеофайлы, фотографии. Программа может быть настроена с учетом требований ГОСТ ЕСКД к оформлению документации. Все документы можно вывести на печать или хранить в виде электронного пакета. Для просмотра файлов в электронном виде со всеми преимуществами, такими как гиперссылки, подсветка объектов и масштабирование, используется бесплатная программа OrCAD Documentation Viewer, которая может быть установлена отдельно от OrCAD Documentation Editor и не требует наличия лицензии. n

Литература 1. Gallant М. Mitigating the High Cost of PCB Documentation. The PCB Design Magazine. 2014, № 3 — http://iconnect007.uberf lip.com/i/275965 2. h t t p : / / w w w . o r c a d . c o m / p r o d u c t s / o r c a d documentation-editor/overview

новости

САПР

Презентации новых приложений OrCAD и Allegro для российских пользователей Компания Cadence Design Systems Inc. сообщила о серьезном обновлении линейки программных продуктов OrCAD. Теперь пользователям доступны три новых приложения: OrCAD Library Builder, OrCAD Documentation Editor и OrCAD Engineering Data Management (EDM, ранее OrCAD Team Design). OrCAD Library Builder — уникальное приложение, позволяющее в считанные минуты создавать символы компонентов для схемы и посадочные места для печатной платы любой сложности. OrCAD Documentation Editor — новое приложение, которое в корне меняет подход к созданию документации на печатную плату. Программа помогает создавать детальные чертежи, сборочные чертежи, выводить перечень и спецификацию, формировать такие документы для различных вариантов сборки платы и многое другое. OrCAD Engineering Data Management (EDM) — приложение для групповой работы инженеров, администрирования проектов и библиотек. Приложение полностью интегрировано в среду разработки OrCAD Capture. Новые приложения значительно расширяют возможности стандартных лицензионных конфигураций OrCAD. Ознакомиться с ними можно на сайте www.orcada.ru

Реклама

DE собирает готовую версию документации со всеми файлами в единый пакет и присваивает ему соответствующую версию (рис. 6). Для одного проекта может быть сформировано несколько версий такого пакета. На производство, сборку и контроль можно помимо бумажных версий документов отправить электронный пакет документации. Для его просмотра существует специальная бесплатная программа OrCAD Documentation Viewer, имеющая точно такой же интерфейс, как и OrCAD Documentation Editor. Преимущество работы с документацией через данную программу — использование всех интерактивных возможностей, таких как подсветка компонентов в перечне путем их выбора на плате, вывод изображения или видео на экран, гиперссылки в технических требованиях и многое другое. Подготовка документации на печатную плату традиционными способами — средствами ECAD или MCAD — занимает слишком много времени, подвержена ошибкам, не позволяет быстро вносить изменения. Это влияет на качество и полноту документации, передаваемой на производство, сборку и тестирование платы. В итоге инженер тратит значительное количество времени на создание, исправление и доработку документации, согласование ее с соответствующими службами предприятия вместо того, чтобы работать над новыми проектами. Новая программа OrCAD Documentation Editor созда-

проектирование

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


140

новости

Новости сайта www.efo.ru APA Wireless Фирма APA Wireless, производитель ГУН с низкими фазовыми шумами, разработала источник сигнала с ультранизким джиттером (34,118 фс на частоте 1,87874999 ГГц, двухполосный 12 кГц – 20 МГц), предназначенный для высокоскоростных систем передачи данных, таких как 1G Ethernet. Он работает от 5 В и потребляет меньше 50 мА, ориентировочные размеры 15×15×3 мм. Поскольку генератор построен с использованием микросхемы ФАПЧ (ADF4106 или эквивалент), его выходная частота может перепрограммироваться в пределах нескольких мегагерц. Генератору необходима опорная частота в диапазоне 10–100 МГц.

CT-Concept

Объявлено о начале поставок ядер драйверов, изготовленных на наборе микросхем SCALE‑2+. В SCALE‑2+ модифицирована функция защиты силовых ключей от перегрузки, возникающей при коротком замыкании, — она заменена функцией плавного отключения (soft shutdown, SSD). Это удобно в приложениях с малой паразитной индуктивностью, где нет необходимости использовать полное активное ограничение напряжения. SSD-функция реализована включением в чипсет триггерного механизма ограничения напряжений коллектор-эмиттер или сток-исток в случае короткого замыкания силового ключа. Новая функция не требует дополнительных внешних компонентов. Новый упрощенный набор микросхем SCALE‑2+ получился ниже по стоимости, что сократит и цену ядер драйверов, которые делаются на его основе. Первый компонент с SSD, изготовленный на наборе заказных микросхем SCALE‑2+, 2SC0106T2A0-12 — двухканальное ядро драйвера IGBT/MOSFET-модулей с рабочим напряжением 1200 В для преобразователей в диапазоне мощностей 37–110 кВт.

LEM Компания LEM анонсировала новые интеллектуальные интерактивные датчики тока: • HO 25‑NPPR & -NSMPR — программируемые пользователем модели; • HO 8; 15; 25‑NP & -NSM — запрограммированные модели (с фиксированными параметрами). Основные параметры: • Три программируемых диапазона: 8 ARMS, 15 ARMS, 25 ARMS (25 ARMS по умолчанию). • Детектирование токовой перегрузки с программируемым порогом (до 5×IPN). • Программируемое время отклика (от 2 до 6 мкс). • До четырех программируемых внутренних опорных напряжений (0,5, 1,5, 1,65 и 2,5 В). • Доступ к опорному напряжению. • Небольшой ток смещения и дрейф коэффициента усиления. • Диапазон измерения до 2,5×IPN. • Измерение малых токов от 2,67 до 25 ARMS благодаря многодиапазонной конфигурации. • Небольшие размеры (Ш×Д×В): 12×23×12 мм. • Высокая точность — при +25 °C: 1% от IPN; при +105 °C: 3,8% от IPN. • Расстояние утечки по поверхности и по воздуху: 8 мм, CTI 600. • 5 лет гарантии.

Характеристики: • Измерение постоянного, переменного и импульсного токов с гальванической изоляцией. • Однополярное питание +5 В или +3,3 В. • Электрическая прочность изоляции: до 4,3 кВRMS/50 Гц/мин. • Сквозное отверстие (-NPPR или -NP) или поверхностный монтаж (-NSMPR или -NSM). • Рабочий диапазон температур: от –40 до +105 °C. • Выходное напряжение: 0,8 В при IPN. • Полоса частот: от 0 Гц до 100–600 кГц (–3 дБ).

Altera Вышло обновление для SoC EDS 14.0. Оно содержит ряд улучшений и дополнений: 1) Обновленный набор средств разработки программного кода (компилятор, линковщик, загрузчик). 2) Новые примеры проектов: – новый предзагрузчик Minimal Preloader (MPL) — версия для ARMCC; – работа с памятью типа EEPROM по интерфейсу SPI; – использование ECC для кэша L2; – примеры аппаратных систем. 3) Примеры реализованы в конфигурациях semihosted и non-semihosted. 4) В низкоуровневую библиотеку для работы с аппаратными модулями (HWLIB) добавлен API для работы с интерфейсом CAN (<alt_can.c>). 5) Исправлены выявленные ошибки.

Bothhand Компания Bothhand расширила линейку DC/DC-источников питания мощностью 30 Вт (серия BXE30H3) и с повышенной прочностью электрической изоляции 3000 В для применения на железнодорожном транспорте и в телекоммуникациях. Особенности новых моделей: • Рабочий температурный диапазон: от –40 до +85 °С. • Входной диапазон: 60–160 В. • Выходные напряжения: 5, 12, 15 В (возможны другие номиналы). • Рабочая частота преобразования: 330 кГц. • Электрическая прочность изоляции: 3000 В. • Размеры: 50,8×50,8×26 мм. • Все модели сертифицированы и соответствуют международным стандартам по технике безопасности.

Atmel Компания Atmel снимает с производства ряд моделей аппаратных средств поддержки разработок. В связи с тем, что новая версия аппаратного эмулятора Atmel-ICE поддерживает практически все семейства микроконтроллеров Atmel, компания прекращает выпуск изделий JTAGICE2, JTAGICE3 и AVRISP2. Процесс перехода на Atmel-ICE предусматривает несколько этапов, и некоторое время отладчики еще будут доступны по завышенной цене. Для JTAGICE2 процесс снятия с производства уже запущен, для остальных изделий повышение цен ожидается в IV квартале 2014 года. AVR Dragon тоже будет снят с производства, поскольку изготовление компонентов, необходимых для его сборки, также прекращено. По оценкам компании Atmel, их запасов хватит на 18 месяцев постоянного производства, после чего отладчик будет снят с производства. В результате в серийном изготовлении у компании Atmel будет находиться три решения для разных семейств: Atmel-ICE, SAM-ICE и AVR ONE. Отметим, что новый эмулятор Atmel-ICE и его недорогие версии не поддерживаются средой AVR Studio 4. Их поддержка введена в Atmel Studio 6. Санкт-Петербург, ул. Новолитовская, д. 15, лит. А, бизнес-центр «Аквилон», офис 441; (812) 327-86-54; e-mail: zav@efo.ru.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014



проектирование

142

моделирование работы

Система компьютерной алгебры Mathematica 9: новые возможности

Владимир Дьяконов, д. т. н., профессор vpdyak@yandex.ru

Mathematica 9 — новая система компьютерной алгебры фирмы Wolfram Research (США) [1], построенная на парадигме интеграции аналитических (символьных) и численных вычислений с высочайшей степенью их графической визуализации, обширной базой данных по таким расчетам и удобным интерактивным многооконным интерфейсом. Система содержит около 400 нововведений, расширивших ее функциональность. Все это открывает обширные возможности в применении Mathematica 9 для сложных символьных и численных расчетов и моделирования компонентов и систем. Статья впервые в отечественной литературе описывает новейшую версию Mathematica 9.

Введение Среди существенных новинок системы Mathematica 9 следует особо отметить: • Обновленный интерфейс (Wolfram Predictive Interface). • Системную поддержку единиц измерений. • Анализ социальных сетей, выживаемости и надежности. • Случайные процессы и стохастические дифференциальные уравнения. • Параметрические дифференциальные уравнения. • Гибридные и дифференциально-алгебраические уравнения. • Работу с временными рядами. • Интеграцию с R. • Распознавание лиц на фотографиях. В систему Mathematica 9 были добавлены новые крупные составляющие, еще более расширяющие не имеющую себе равных базу алгоритмических, информационных возможностей и возможностей пользовательского интерфейса системы Mathematica [2, 3, 5–7]. Обеспечена тесно интегрированная поддержка более чем 4500 единиц измерений, в том числе свободная форма языкового ввода, преобразования и проверка согласования размерностей в построении графиков, в численных и символьных вычислениях (пакет расширения

Units Package). Общее число функций в ядре системы выросло почти до 4000. Mathematica 9 является обратно совместимой со всеми ноутбуками (документами), созданными в версиях 6, 7 и 8. Mathematica 9 содержит огромный набор средств статистики. Они заслуживают отдельного рассмотрения, которое (за исключением метода наименьших квадратов) не вошло в задачи этой статьи, ввиду ограниченности ее объема и специфики материала по статистике.

Mathematica 9 для компьютеров MAC Для компьютеров MAC фирмы Apple поставляется новейшая версия Mathematica 9.01 (30.01.2013). Ее основное достоинство (помимо стандартных для этих компьютеров деталей интерфейса) — предотвращение потери быстродействия на компьютерах MAC с микропроцессорами Intel и поддержка их параллельных вычислений. На рис. 1 показан исходный интерфейс системы Mathematica 9.01. В целом это стандартный многооконный интерфейс системы Mathematica. Для тестирования Mathematica 9 на время вычислений надо выполнить комплексный тест Benchmark, содержащий 15 последовательно выполняемых тестов: In[1]:=Needs[“Benchmarking`”] In[2]:= Benchmark[] Out[2]//InputForm= {“MachineName” –> “macbook-pro-vladimir-4”, “System” -> “Mac OS X x86 (64-bit)”, “BenchmarkName” –> “MathematicaMark9”, “FullVersionNumber” –> “9.0.1”, “Date” –> “June 23, 2013”, “BenchmarkResult” –> 1.13, “TotalTime” –> 12.252, “Results” –> {{“Data Fitting”, 0.541}, {“Digits of Pi”, 0.733}, {“Discrete Fourier Transform”, 0.927}, {“Eigenvalues of a Matrix”, 0.673}, {“Elementary Functions”, 1.058}, {“Gamma Function”, 0.568}, {“Large Integer Multiplication”, 0.539}, {“Matrix Arithmetic”, 1.147}, {“Matrix Multiplication”, 0.937}, {“Matrix Transpose”, 0.84}, {“Numerical Integration”, 0.934}, {“Polynomial Expansion”, 0.125}, {“Random Number Sort”, 1.563}, {“Singular Value Decomposition”, 0.839}, {“Solving a Linear System”, 0.828}}}

Более полный отчет о времени вычислений можно получить, исполнив команду: Рис. 1. Исходный интерфейс Mathematica 9.01 для MAC

In[1]:= BenchmarkReport[]

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


моделирование работы

проектирование

143

Рис. 2. Часть отчета о времени вычислений в системе Mathematica 9

Рис. 4. Палитры символов, математических знаков и других объектов

Рис. 3. Окно центра документации на фоне окна командного режима с примерами применения

Рис. 5. Окно с полным алфавитным каталогом новых функций Mathematica 9

Часть расширенного отчета показана на рис. 2. Цифры в прямоугольниках — соответствующие времена вычисления того или иного теста (перечень тестов дан в конце отчета). Новым стало окно центра документации — справки. Оно представлено на рис. 3. Центр имеет лаконичный каталог разделов, но каждый раздел раскрывается активизацией мышью треугольничка после своего названия. Раздел Get Srarted (верхняя строка) знакомит пользователя с началом работы с системой, а раздел What’s is New — с новинками системы. Ноутбук (документ) в системе Mathematica состоит из набора входных и выходных ячеек. Справа они отмечаются длинными квадратными скобками разного уровня. Входные ячейки заполняются начиная с пустого места с помощью клавиатуры, навигатора функций и палитр различных объектов (рис. 4). Палитры содержат свыше 2000 символов. Выходные ячейки содержат результаты выполнения входных ячеек, завершаются нажатием клавиш Shift+Enter. Нажатие просто Enter переводит строку. Следует сразу обратить внимание, что названия функций имеют одну букву, одно слово или несколько слов. Все они начинаются с большой буквы. Список параметров функций дается в квадратных скобках. Эти правила традиционны во всех версиях системы.

New in 9 показано на рис. 5. Оно содержит подробный алфавитный каталог новинок, позволяющий быстро найти любое новое средство (например, функцию или оператор) по его имени и сразу выйти на соответствующее подробное описание. Функциям в Mathematica принадлежит особое место. По существу основой системы является функциональный язык программирования в виде набора создаваемых пользователем и встроенных функций. Их применение значительно облегчает навигатор функций, который позволяет легко найти любую из многих тысяч встроенных функций и вывести ее шаблон в текущую строку ввода ноутбука (рис. 6). Решение задач обычно сводится к заданию функции в строке ввода и получению результата в строке вывода. По выбранной функции в навигаторе можно вывести окно с ее детальным описанием (рис. 7), включающим теоретическую часть, синтаксис записи, многочисленные (порою десятки) примеры при-

Информация о новых возможностях Особенностью системы Mathematica, выгодно отличающей ее от других быстро развивающихся систем, является наличие детальной информации о новых возможностях и функциях каждой версии системы — начиная с 6.0. Это облегчает пользователю разбор ноутбуков (документов) системы вне зависимости от того, в какой версии системы они созданы. При общем знакомстве с новыми возможностями системы удобен тематический указатель (рис. 4, слева). Окно справки из раздела

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 6. Окно навигатора функций на фоне окна одного из разделов справки

www.kite.ru


144

проектирование

моделирование работы

а

Рис. 7. Окно справки по всем математическим функциям

менения, указания на схожие по тематике разделы справки, пакеты расширений, самоучители и т. д. С помощью мышки и треугольничков перед названиями разделов они могут открываться или закрываться.

б

Рис. 9. Работа с помощью линейки предложений: а) пример вычисления; б) пример построения графика выражения

Mathematica 9 для компьютеров класса PC Для компьютеров класса PC с операционной системой Windows XP, Windows 8 и др. могут поставляться 64‑разрядная и 32‑разрядная версии Mathematica 9.0, чуть отличающиеся по скорости выполнения операций. На рис. 8 показан исходный интерфейс 32‑разрядной версии Mathematica 9.0.

Например, после ряда вычислений, показанных на рис. 9а, линейка предложений предсказывает построение в первую очередь графика результата. Исполнив это предложение, получим график в виде параболы, что показано на рис. 9б. Если вычисления исчерпаны, линейка предложений исчезает. Многие предложения обладают динамическими и интерактивными элементами управления, позволяя задать нужные значения и увидеть результаты, прежде чем пользователь остановит свой выбор на одном из них.

О совместимости версий Mathematica

Рис. 8. Исходный интерфейс 32‑разрядной версии Mathematica 9 для PC

Сравнив рис. 2 и 8, нетрудно заметить, что разница в интерфейсе крайне незначительна и сводится к отличиям в титульной строке окон: в версии под MAC кнопки управления окнами цветные и круглые и расположены в начале титульной строки, а в версии для PC — квадратные и серые. Скорость выполнения операций в системах под Windows даже немного выше, чем у систем под MAC OS.

Предсказание дальнейших вычислений Новинкой в Mathematica 9 являются средства предсказания вычислений. Как только пользователь закончил вычисления, на его рассмотрение будут представлены оптимизированные предложения, имеющиеся в специальной линейке (Suggestions Bar) и позволяющие сделать следующие возможные шаги (рис. 9). Нажатием нужной кнопки в этой линейке можно выполнить новую функцию, построить график или открыть модуль оперативной помощи. Данный подход помогает уже в начале работы ориентироваться в функциях системы Mathematica и открывать для себя новые функциональные возможности.

Последние версии Mathematica стали появляться так часто, что в употреблении одновременно находится несколько версий системы. Если, к примеру, пользователь привык работать с версией Mathematica 6.0 [2, 3], ему нет смысла переходить на новейшую версию Mathematica 9 без весьма серьезных оснований. В частности, таким основанием может быть отсутствие нужных средств в старой версии. Поэтому встает вопрос о совместимости новейшей версии системы с предшествующими версиями. Wolfram Research всегда уделяла решению этого вопроса большое внимание. Автор проходил длительную стажировку на фирме Wolfram Research еще в 2000 году, когда там велась интенсивная разработка Mathematica 5. Уже тогда ему были показаны многие средства, которые в последующие годы вошли в серийные продукты Mathematica 6, 7 и 8 [2, 3, 5–7], а затем и в Mathematica 9. Этот огромный задел теперь используется в невиданной по масштабам и темпам модернизации системе. Если ноутбук выполнен в старой версии, то об этом появляется сообщение и предложение конвертировать выражение в подходящую форму. Даже если проводится обновление с версии 5.2 или еще более ранней версии 5.0, можно работать с такими ноутбуками в Mathematica 9 (при условии, что есть необходимые наборы шрифтов, в частности кириллических).

Предварительный обзор графики в справке Практика показывает, что при знакомстве со справкой системы особый интерес у пользователя вызывают примеры с графической визуализацией вычислений. В прежних версиях Mathematica найти такие примеры среди многих тысяч, представленных в справке, было довольно трудно. В Mathematica 9 этот вопрос решен: в начале каждого заслуживающего внимания раздела справки приведен небольшой набор графиков, наглядно иллюстрирующих типовые

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


моделирование работы

Рис. 10. Окно справки по разделу языка программирования микропроцессора

проектирование

145

а

б

Рис. 12. Динамическая интерактивность: а) при численных расчетах; б) при вычислении неопределенных интегралов

пилированного ядра системы, без заметной потери на загрузку ядра и поиск в нем нужных данных.

Средства динамической интерактивности

Рис. 11. Контурные графики поля потоков

средства визуализации демонстрационных примеров данного раздела (рис. 10). На рис. 11 показан один из примеров построения контурных графиков поля потоков, полученных таким образом.

Пакеты расширения Ядро системы оптимизировано по числу входящих в него заранее откомпилированных функций. Поэтому часть функций в Mathematica включена в ее пакеты расширения, которые надо открывать перед использованием таких функций. Скажем, при работе с размерными величинами следует открыть пакет Units с помощью команды: << Units`

Теперь можно пользоваться размерными величинами: In[1]:= Convert[12 Meter/Second, Mile/Hour] Out[1]= (37500 Mile)/(1397 Hour) In[2]:= SI[3 Atmosphere] Out[2]= 303975. Pascal In[3]:= ConvertTemperature[20, Fahrenheit, Centigrade] Out[3]= –(20/3)

Описание всех пакетов расширения есть на сайте фирмы [1]. В формате PDF-файлов оно бесплатное. Их можно также заказать и купить в виде книг. Переход компьютеров на новую элементную базу, в частности многоядерные процессоры, позволил сократить число пакетов расширения, а их функции включить в состав отком-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Начиная с Mathematica 6 в систему введены новые мощные средства динамической интерактивности. Они достаточно подробно описаны в [2, 3] и полностью доступны в Mathematica 9. В них входит большое число управляющих объектов. Это однокоординатные и двухкоординатные слайдеры, локаторы, списки, меню, таблицы и т. д., обычно управляемые мышью. Есть ряд модулей, ограничивающих динамическую интерактивность своим пространством. Например, модуль манипуляций Manipulate[expr, {u, min,max, du}]

позволяющий манипулировать объектом expr при изменении управляющей переменной u от значения min до значения max с шагом du. При этом объект будет динамически менять свой вид при действиях с управляющими объектами вручную или автоматически. Средства динамической интерактивности используются при численных и аналитических расчетах. Рис. 12а иллюстрирует их применение при численных расчетах совместно с управляющим объектом — однокоординатным слайдером. Применение этих средств при аналитических расчетах (при вычислении неопределенных интегралов одной группы) показано на рис. 12б. Нетрудно заметить, что интегралы, как и другие специальные математические знаки, могут задаваться из палитр в естественном математическом виде, а могут задаваться своими символьными именами — например, Integrate для интегрирования.

Примеры графики с динамической интерактивностью Динамическая интерактивность особенно полезна, когда в результате решения надо получить наглядную визуализацию результатов вычислений в динамике. Примером может служить построение спиwww.kite.ru


146

проектирование

моделирование работы

Рис. 13. Построение спиралей, проходящих через заданные точки, перемещаемые мышью

Рис. 15. Построение радиус-вектора синусоиды, его вращение мышью и нахождение места конца на проекциях синусоиды

Рис. 16. Построение фигур Лиссажу с управлением двухкоординатными слайдерами

Рис. 14. Построение стилизованной фигуры человека с конечностями — линиями, перемещаемыми слайдерами

ралей, проходящих через заданные точки на плоскости, перемещаемые мышью в любое место (рис. 13). Такие точки задает на плоскости управляющий элемент — локатор. В программу он вводит координаты точки — своего центра с перекрестием. Другой наглядный пример — построение стилизованной фигуры человека, изображения рук и ножек которого могут вращаться мышью (рис. 14). Такое вращение можно задать и в автоматическом режиме, активизировав маленькие круглые кнопки с крестиками. Этот пример демонстрирует также применение функций построения объектов графики — отрезка прямой (Line) и диска (Disk). При описании многих преобразований синусоидальных сигналов, например при их кодоимпульсной фазовой манипуляции, широко применяемой в скоростных цифровых линиях связи, их удобно представлять как проекции вращаемого мышью радиус-вектора. Рисунок 15 иллюстрирует построение такого радиус-вектора и построение в виде красной точки проекции его конца. Вращая радиус-вектор мышью, можно наблюдать перемещение точки в то или иное положение. На построении совокупности таких точек основано создание «звездных» диаграмм в современных цифровых осциллографах и анализаторах спектра.

Другой пример (рис. 16) обеспечивает построение фигур Лиссажу, которые обычно формируются довольно дорогими приборами — осциллографами. Их построение в Mathematica не только более наглядно, но и дает устойчивые фигуры. Управление обеспечивается слайдерами.

Рис. 17. Полиномиальная аппроксимация перемещаемых мышью точек с установкой слайдером порядка полинома

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


проектирование

моделирование работы

Рис. 18. Контурный график поля двух перемещающихся мышью точек

На практике широко применяется полиномиальная аппроксимация заданных на плоскости точек. Рисунок 17 дает пример такой аппроксимации для точек, перемещаемых мышью с помощью локаторов. Линия аппроксимации почти мгновенно проходит точно через эти точки. Порядок полинома устанавливается однокоординатным слайдером. Проведение аппроксимации очень наглядно и позволяет оценивать основные ее закономерности. Построение контурного графика поля двух перемещаемых на плоскости точек показано на рис. 18. Для построения таких точек используются слайдеры и локаторы. Следует обратить внимание на выбор типа цветовой закраски областей между кривыми. Динамическую интерактивность можно использовать для наблюдения плавного перехода (трансформации) одной кривой или фигуры в другую. На рис. 19 это показано на примере трехмерной фигуры при изменении параметра «a». Он меняется слайдером. Рисунок 20 показывает построение трехмерной фигуры Knot с выбором пары параметров из таблицы. Отчетливо видно высокое качество имитации освещения фигуры и ее окраски. Размеры окна графики, как

Рис. 19. Трансформация поверхности в пространстве

и в других примерах, в широких пределах можно менять мышью.

Анимация при графической визуализации Анимация — это еще один пример динамической интерактивности. Она реализуется функцией: Animate[expr,{u,umin,umax,du}]

Есть ее более простые и более сложные формы синтаксиса. Объект expr анимирует при изменении управляющей переменной u от umin до umax с шагом du. На рис. 21а даны примеры анимации символьного выражения и графики. Управляющий параметр изменяется однокоординатным слайдером каждого рисунка. Звук также может быть объектом анимации. На рис. 21б показан пример анимации графика параболы, сопровождаемой ани-

мацией звука с помощью встроенного проигрывателя звуковых файлов. Разумеется, для этого компьютер должен иметь звуковую карту и звуковые колонки (телефоны).

Некоторые другие возможности графики Динамическая интерактивность возможна и при построении более сложных графиков. На рис. 22а изображено построение циферблатов действующих (и показывающих реальное время) часов, расположенных на гранях куба. Сам куб при этом может с помощью мыши поворачиваться в пространстве. Построение семейства трехмерных фигур и поверхности представлено на рис. 22б. Здесь при построении использован модуль DynamicModule, ограничивающий своими пределами область динамической интерактивности. На рис. 23 показано изменение цвета кривой графика с помощью слайдера внутри панели выбора цвета с применением дина-

а

Рис. 20. Построение трехмерной фигуры Knot с разными параметрами

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

147

б

Рис. 21. Примеры анимации: а) символьного выражения и двумерного графика; б) параболы, сопровождаемой звуком

www.kite.ru


148

проектирование

моделирование работы

а

Рис. 23. Выбор цвета кривой графика

б

Рис. 22. Построение: а) идущих часов на гранях управляемого мышью куба; б) трехмерных фигур и поверхности с применением модуля динамики

мической интерактивности. Выбранный цвет указан и в маленьком прямоугольнике ячейки ввода. Построение ряда трехмерных фигур с разной окраской показано на рис. 24. Используется таблица фигур и обычная техника построения фигур.

Решение задач математического анализа Решение задач математического анализа — одно из важнейших предназначений системы Mathematica и достаточно подробно описано в [2, 3], так что ограничимся несколькими примерами. Для упрощения математических выражений используется функция Simplify. Она работает с алгебраическими и тригонометрическими выражениями и с элементарными функциями. Пример работы с алгебраическим выражением: In[1]:= D[Integrate[1/(x^3 + 1), x], x] Out[1]= 1/(3 (1 + x)) – (–1 + 2 x)/(6 (1 – x + x^2)) + 2/(3 (1 + 1/3 (–1 + 2 x)^2)) In[2]:= Simplify[%] Out[2]= 1/(1 + x^3)

Рис. 24. Таблицы трехмерных фигур с разным стилем окраски при отражении света

Функция FulSimplify обеспечивает работу и с выражениями, содержащими специальные функции: In[1]:= FullSimplify[x Gamma[x]] Out[1]= Gamma[1 + x] In[2]:= FullSimplify[E^(EllipticF[x, 1]), –Pi/2 < x < Pi/2] Out[2]= Sec[x] + Tan[x] In[3]:= FullSimplify[EulerPhi[p^2] + p, Element[p, Primes]] Out[3]= p^2

Естественно, есть такие широко распространенные функции компьютерной алгебры, как расширение выражений Expand, факторизация Factor, решение обычных уравнений и систем Solve и др. Примеры применения функции Solve: In[1]:= Solve[x^2 + a x + 1 == 0, x] Out[1]= {{x –> 1/2 (–a – Sqrt[–4 + a^2])}, {x –> 1/2 (–a + Sqrt[–4 + a^2])}} In[2]:= Solve[(x^5 – 1)^x == 0, x] Out[2]= {{x –> 1}, {x –> (–1)^(2/5)}, {x –> –(–1)^(3/5)}} In[3]:= Solve[AiryBi[1 – x^2] == 0 && 2 < x < 3, x, Reals] Out[3]= {{x –> Sqrt[1 – AiryBiZero[2]]}, {x –> Sqrt[1 – AiryBiZero[3]]}, {x –> Sqrt[ 1 – AiryBiZero[4]]}, {x –> Sqrt[1 – AiryBiZero[5]]}}

При необходимости решения задач в численном виде используется функция NSolve. Матрицы в Mathematica 9 задаются построчно в фигурных скобках. Затем строки объ-

единяются с применением разделительных запятых. Приведем примеры составления и решения системы из двух линейных уравнений в символьном и численном виде: In[1]:= LinearSolve[{{a, b}, {c, d}}, {x, y}] {(d x – b y)/(–b c + a d), (c x – a y)/(b c – a d)} In[7]:= m = {{1, 1, 1}, {1, 2, 3}, {1, 4, 9}}; b = {1, 2, 3}; LinearSolve[m, b] Out[9]= {–(1/2), 2, –(1/2)}

Примеры вычисления неопределенных и определенных интегралов с помощью функции Integrate представлены на рис. 25. Исходный интеграл можно задавать в различных форматах, в том числе с помощью шаблона с естественным математическим видом интеграла. Система Mathematica 9 способна вычислять огромное число интегралов и производных и вполне может заменить детальные справочники по интегральному и дифференциальному исчислению. Разложение функций и выражений в ряд Тейлора с помощью функции Series получается очень наглядным при использовании средств анимации (рис. 26). При этом хорошо видно, как кривая разложения отходит

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


моделирование работы

проектирование

149

Рис. 27. Вычисление максимума функции с построением точки максимума

Рис. 25. Примеры вычисления интегралов

Рис. 26. Разложение синусоиды в ряд Тейлора с анимацией

от графической зависимости разлагаемой функции. Параметром анимации является степень разложения полинома Тейлора. Исследование функций и уравнений составляет важную часть математического анализа. Mathematica 9 позволяет решать большое число классов уравнений и анализировать практически любые функциональные зависимости. На рис. 27, в частности, показано построение графика функции, вычислен ее максимум, и красная точка максимума построена на графике функции. Часто требуется приблизить некоторую совокупность точек с ошибками некоторой кривой, проходящей в «облаке точек», с наименьшей среднеквадратической погрешностью (то есть реализовать метод наименьших квадратов). Основной функцией, реализующей это, является функция FindFit. Пример приближения точек двумя кривыми со сравнением результатов показан на рис. 28.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 28. Сравнение случаев приближения точек кривой по методу наименьших квадратов

В центре документации можно найти огромное число примеров решения и других задач математического анализа.

Решение дифференциальных уравнений В Mathematica 9 существенно расширены и модернизированы средства решения дифференциальных уравнений — как в символьной, так и в численной форме. Приведем примеры решения в символьной форме с применением функции решения дифференциальных уравнений и систем с ними Dsolve: In[4]:= DSolve[y′[x] + y[x] == a Cos[x], y[x], x] Out[4]= {{y[x] –> E^-x C[1] + 1/2 a (Cos[x] + Sin[x])}} In[5]:= DSolve[{y′[x] + y[x] == a Cos[x], y[0] == 1}, y, x] Out[5]= {{y –> Function[{x}, 1/2 E^–x (2 – a + a E^x Cos[x] + a E^x Sin[x])]}} In[6]:= DSolve[y″[x] + 4 y′[x] + 5 y[x] == 0, y[x], x] Out[6]= {{y[x] –> E^(–2 x) C[2] Cos[x] + E^(–2 x) C[1] Sin[x]}}

Для численного решения предназначена функция NDSolve. Решения получаются более наглядными при использовании динамической интерактивности (рис. 29). Для этого применяется специальный решатель уравнений Equation Trekker, позволяющий мышью задать начальную точку решения в виде фазового портрета. Его окно показано под ноутбуком. По завершении работы решение появляется как строка вывода ноутбука. Часто требуется не одно решение, а целое семейство, получаемое при изменении какоголибо параметра (рис. 30а). Это позволяет по густоте линий решения оценивать его чувствительность к изменению заданного параметра. При решении систем дифференциальных уравнений низкого порядка график решения часто отображается с помощью параметрических фигур — фазовых портретов. Пример такого решения с построением фазового портрета показан на рис. 30б. www.kite.ru


проектирование

150

моделирование работы

Рис. 31. Решение системы жестких дифференциальных уравнений Ван-дер-Поля с построением фазового портрета

Рис. 29. Построение решения дифференциального уравнения с выбором начальной и конечной точки решения мышью

а

Моделирование на основе решения жестких дифференциальных уравнений Решение дифференциальных уравнений часто лежит в основе моделирования динамических систем и устройств. Многие из них описываются нелинейными дифференциальными уравнениями (часто специального типа), не имеющими аналитических решений. В этом случае применяются численные методы. В Mathematica 9 средства для работы с дифференциальными уравнениями существенно расширены. В частности, введены эффективные методы решения жестких дифференциальных уравнений численными методами. На рис. 31 показан пример решения системы жестких дифференциальных уравнений Ван-дер-Поля. Решения имеют как медленные, так и быстрые участки, что указывает на большую разницу в постоянных времени решения. Эта классическая нелинейная система из двух дифференциальных уравнений первого порядка описывает колебания в ряде электронных схем LC-генераторов. При большом значении параметра нелинейности (1000 в нашем случае) система уравнений становится жесткой, и ее решение обычными методами невозможно. В нашем случае применен stif-метод для решения системы Ван-дер-Поля и получено решение в виде фазового портрета. К жестким системам относятся дифференциальные уравнения химической кинетики. Начало решения одной из таких систем показано на рис. 32а. Конец с результатами решения дан на рис. 32б.

Решение проблемы двухсторонних граничных условий Иногда нужно лишь то решение дифференциального уравнения, при котором соблюдаются заданные не только начальные, но и конечные граничные условия. Пример — стрельба по цели с заданными координатами. В Mathematica есть средства для решения подобных уравнений и без динамической интерактивности. Пример дан на рис. 33.

Решение системы дифференциальных уравнений с событиями б

Рис. 30. Решение дифференциального уравнения с построением: а) семейства графиков решений; б) графика решения и фазового портрета

В некоторых случаях в ходе решения дифференциальных уравнений или систем могут происходить события (events), которые надо выделять, например цветом. Mathematica 9 имеет средства и для таких «экзотических» уравнений. На рис. 34 показано решение системы дифференциальных уравнений, имеющих отрезки дуг с целочисленными значениями. Они и выделяются цветом.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


моделирование работы

проектирование

151

а Рис. 34. Решение системы дифференциальных уравнений с событиями

Моделирование двухфазного выпрямителя Двухфазный диодный выпрямитель (rectifier), работающий на емкостную нагрузку, — одна из самых распространенных схем (рис. 35а). Он применяется едва ли не в каждом источнике питания от промышленной сети переменного тока. Выпрямитель также описывается жесткими дифференциальными уравнениями, поскольку при открытых диодах постоянная времени заряда конденсатора фильтра мала, а при закрытых диодах постоянная времени разряда конденсатора велика. Как видно из рис. 35б, это не препятствует моделированию в системе Mathematica 9.

б

Рис. 32. Система дифференциальных уравнений химического реактора: а) задание; б) решение

а

б

Рис. 33. Решение дифференциального уравнения с двухсторонними граничными условиями

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 35. Моделирование двухфазного выпрямителя с емкостным фильтром: а) начало; б) конец

www.kite.ru


проектирование

152

моделирование работы

Функциональное моделирование DC/DC-преобразователей Решение дифференциальных уравнений для функций с событиями расширяет возможности Mathematica 9 и позволяет (в основном на функциональном уровне) моделировать DC/DC-преобразователи ключевого типа. Два примера моделирования представлены на рис. 36. Обе схемы преобразователей построены на основе идеальных ключей, управляемых с постоянной частотой и скважностью 2. Для открытого и закрытого состояния ключей составляется своя эквивалентная схема. Они стыкуются при решении систем дифференциальных уравнений схемы. Расчетные переходные характеристики и управляющие сигналы представлены на графиках.

Заключение Хотя система компьютерной алгебры Mathematica 9 была создана менее чем через год после появления предшествующей версии системы, она основательно доработана и дополнена четырьмя сотнями новых объектов — в основном функций. При этом она вобрала все средства и функции более ранних версий системы Mathematica. В результате общее число функций в системе достигает примерно 4000. В справке системы содержатся многие тысячи демонстрационных примеров. Масштабы доработки систем Mathematica беспрецедентны и превосходят работы по совершенствованию конкурирующих с ними n систем компьютерной алгебры Maple.

а

Литература 1. www.wolfram.com 2. Дьяконов В. П. Mathematica 5.1/5.2/6 в научно-технических расчетах. Издание 2‑е, переработанное и дополненное. М.: Солон-Пресс. 2009. 3. Дьяконов В. П. Mathematica 5/6/7. Полное руководство. С.: ДМК-Пресс. 2009. 4. Dynamic Interactivity. Wolfram Mathematica Tutorial Colltction. 5. Дьяконов В. П. Задание, анализ и обработка сигналов в системе Mathematica 8 // Компоненты и технологии. 2012. № 8. 6. Дьяконов В. П. Вейвлеты в СКМ Mathematica 8 // Компоненты и технологии. 2012. № 9.

новости

б

Рис. 36. Моделирование обратноходового DC/DC-преобразователя: а) тип 1; б) тип 2

7. Дьяконов В. П. Обработка изображений в СКМ Mathematica 8 // Компоненты и технологии. 2012. № 1.

ЭМС-фильтры

Новые серии двухпроводных ЭМС-фильтров от TDK Корпорация TDK объявляет о расширении линейки двухпроводных фильтров для блоков питания, производимых TDK-Lambda, дополнительными сериями двухпроводных ЭМС-фильтров EPCOS SIFI и входных фильтров EPCOS IEC, изготавливаемых компанией группы TDK — EPCOS. Группа профессиональных ЭМС-фильтров высокого класса EPCOS предусматривает серию изделий SIFI, стандартную модульную систему на основе пяти линеек изделий с различными параметрами подавления помех и способами подключения, в том числе модели для использования в медицине с малым током утечки. Эти фильтры обеспечивают очень хорошее подавление симметричных и асимметричных помех и выпускаются в исполнении F, G и H с нормальными, повышенными и очень высокими параметрами вносимого затухания фильтра при полном сопротивлении 50 Ом. Кроме того, фильтры, используемые в медицине, отличаются исключительно низким током утечки 2 мкА, обусловливающим их применение в ультразвуковых устройствах, рентгеновских системах и другом медицинском диагностическом оборудовании, где низкий ток утечки является критическим фактором. Поданы заявки на получение всех трех важнейших сертификатов, а именно UL, cUL и ENEC. TDK также представляет хорошо зарекомендовавшую себя серию B84771 входных фильтров EPCOS IEC с двумя новыми линейками. В серии B84773 используется встроенный предохранитель, а в серии B84776 — выключатель и предохранитель. Выключатель питания серии B84776 имеет двухполюсную конструкцию и благодаря этому размыкает фазу и нейтральный проводник. В трех сериях предлагается расширенный диапазон номинальных токов

от 1–20 A при 250 В пост./пер. тока и климатическая категория (IEC 60068-1) 25/85/21. Кроме того, новые входные фильтры IEC доступны в медицинском исполнении с током утечки 2 мкА. Сертификат ENEC для серии B84771 уже получен, для серий B84773 и B84776 — находится в стадии оформления. Разработанные для максимальной температуры окружающей среды +85 °C, все фильтры IEC и SIFI соответствуют требованиям Директивы RoHS на основании сертификата UL/CSA. www.tdk-lambda.ru КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


154

новости

САПР

САПР Genesys 2014 от Agilent Technologies

аналоговые ВЧ-сигналы. В отличие от других симуляторов, которые выдают только одно значение амплитуды вектора ошибок (EVM), коэффициента ошибок на бит (BER) или относительного уровня мощности в соседнем канале (ACPR), Genesys 2014 предлагает революционный анализ запаса по этим характеристикам цифровой модуляции для каждого компонента системы, выполняемый за один проход. Это позволяет разработчикам ВЧ-оборудования мгновенно обнаруживать компоненты, ухудшающие параметры цифровой системы. Точный анализ цифровой модуляции в САПР Genesys 2014 основан на новом встроенном симуляторе потока цифровых данных, который упро-

Реклама

Компания Agilent Technologies объявила о выходе новейшей версии Genesys 2014 — программного обеспечения, созданного для моделирования и синтеза ВЧ-устройств. Предназначенная для разработчиков цепей и систем, эта САПР от Agilent EEsof EDA предлагает революционные методы анализа модулированных ВЧ-сигналов. В новой версии усовершенствованы мощные технологии прямого синтеза специализированных фильтров. Теперь с помощью Genesys 2014 можно моделировать ВЧ-сигналы с цифровой модуляцией, подобные используемым в современных военных и гражданских беспроводных устройствах, причем делать это с той же легкостью, что и традиционные

щает проверку соответствия новейшим стандартам беспроводной связи WLAN 802.11ac и LTE‑3GPP. Благодаря возможности выбрать готовые комплекты настроек через удобную систему меню, специалистам не придется тратить время на ознакомление с незнакомыми и сложными параметрами потока данных для проверки соответствия проектируемых схем или систем требованиям беспроводных стандартов. Дополнительные улучшения в ПО: • В Genesys 2014 была пересмотрена мощная технология прямого синтеза для плавной интеграции рабочей среды, что позволяет интерактивно создавать сразу несколько специализированных фильтров. Поэтому теперь можно точно проектировать диплексоры, мультиплексоры и режекторные фильтры, а также применять мощные преобразования эквивалентных цепей, которые помогают разработчикам воплотить свои идеи в печатные платы с сосредоточенными или распределенными параметрами. • Кроме того, сегодня Genesys 2014 содержит самую большую в отрасли коллекцию моделей X‑параметров для симуляции нелинейных схем и систем. Такая функциональность еще больше укрепляет репутацию этой САПР как самого доступного, точного и простого в обращении решения для моделирования и синтеза ВЧ-устройств. • Дополнительные усовершенствования Genesys 2014 включают новый планарный электромагнитный симулятор Momentum и 3D-просмотровщик топологии, улучшенный алгоритм моделирования фазового шума и стопроцентную совместимость со скриптами MATLAB. www.agilent.com

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


новые технологии

155

Технология GaN быстро завоевывает новые рынки

Алекс Лидоу (Alex Lidow) Йохан Стридом (Johan Strydom) Дэвид Рейш (David Reuch) Перевод: Святослав Юрьев

П

оявление нового семейства силовых транзисторов eGaN FET, обладающих существенно улучшенными характеристиками, обеспечивает возможность переключения на более высоких частотах и с более высокими скоростями по сравнению с силовыми приборами, изготовленными на основе кремния (Si) по традиционной технологии MOSFET (полевой транзистор с МОП-структурой). Сочетание повышенной скорости переключения и малых паразитных параметров монтажа, а также использование соединительных контактов оптимизированной конструкции обеспечивает возможность минимизировать величину монтажной (паразитной) индуктивности печатной платы и, соответственно, полностью задействовать потенциал этих но-

Элементы силовой электроники на основе нитрида галлия (GaN) стремительно обретают популярность благодаря своей способности работать на частотах и скоростях переключения, значения которых лежат далеко за пределами возможностей силовых приборов на основе кремния. Дискретные компоненты на основе GaN могут функционировать при скоростях нарастания выходного напряжения вплоть до 70 В/нс, при этом на характеристики системы существенно влияют факторы, не связанные с активными силовыми компонентами, — такие как высокоскоростные драйверы затворов и компоновка печатных плат. В данной статье рассматривается семейство мощных полевых транзисторов (FET) на основе нитрида галлия (eGaN FET) с улучшенными характеристиками в области высоких частот, предназначенных для применения в высокочастотных вольт-добавочных преобразователях, работающих в мультимегагерцевом диапазоне. Эти устройства были разработаны для выполнения операций переключения высоковольтных напряжений мощных токов на высоких частотах.

вых силовых приборов. Как показали измерения, опытные образцы вольт-добавочного преобразователя, работающие на частотах переключения до 10 МГц, обладают пиковой эффективностью больше 89%. Хотя это и очень хорошие результаты, все еще имеет место значительная составляющая потерь, причиной которых является использование современного кремниевого драйвера затвора. Для того чтобы полностью реализовать потенциал новых высокочастотных транзисторов типа eGaN FET, имеющих к тому же уменьшенные габариты, необходимы целенаправленные усилия по совершенствованию структуры драйвера затвора, что, в свою очередь, позволит еще больше повысить эффективность и обеспечит возможность работать на повышенных скоростях переключения.

Критерий оценки эффективности переключения больших токов Влияние заряда Миллера (QGD) на время переключения хорошо известно (он управляет скоростью спада и нарастания напряжения (tf) в момент переключения), и для жестких условий переключения в качестве критерия эффективности (FOM) принято использовать величину произведения:

QGDRDS(on), где R DS(on) — сопротивление сток-исток. При пониженных напряжениях и больших величинах токов можно пренебречь членом QGS2, не зависящим от величины тока. QGS2 является частью заряда затвора, когда

Рис. 1. Идеализированная форма сигнала переключения, используемая для вычисления величины потерь на переключение, и соответствующая диаграмма заряда FET

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


156

новые технологии

напряжение на приборе находится в интервале значений от VTH (пороговый уровень) до VPL (напряжение плато — плоская часть рабочей характеристики полевого транзистора). QGS2 управляет скоростью нарастания (tr) и спада тока. Эти составляющие заряда на затворе показаны на рис. 1б. Идеализированный интервал включения, показанный на рис. 1а, начинается с роста напряжения, приложенного к затвору и управляющего его работой. Когда это напряжение достигает порогового значения, ток, протекающий через прибор, начинает возрастать под воздействием тока затвора (IG). В период роста тока стока на работу транзистора одновременно влияют и напряжение и ток, что приводит к потерям при переключении. За время, в течение которого ток растет, параметром, определяющим потери в приборе, является QGS2. Когда ток, протекающий через транзистор, достигает значения тока нагрузки, напряжение на приборе начинает падать и в приборе при переключении возникают дополнительные потери. В течение периода спада напряжения параметром, который определяет величину потерь, является QGD. При анализе потерь при переключении применимы те же правила, и минимизация параметров QGD и QGS2 приведет к снижению потерь в тяжелых режимах переключения. Общая величина потерь мощности при осуществлении переключения определяется следующим образом:

Исторически несколько различных критериев было предложено для того, чтобы просто и ясно отражать потенциальные возможности устройств, в которых используются электронные приборы, изготовленные по определенным технологиям, в различных областях применения. Приведенные выше уравнения, относящиеся к идеализированным условиям переключения больших мощностей, формируют основу для принятия определенных критериев в экстремальных случаях переключения FOM (FOMHS) или:

а

б

Рис. 2. а) Оптимальная конфигурация высокочастотного контура; б) конструкция eGaN FET c выводами

В вольт-добавочном преобразователе существуют две основные паразитные индуктивности, существенно влияющие на его работу, — общая индуктивность истока (LS), определяемая цепью прохождения мощного тока сток-исток и цепью «драйвер-затвор», и индуктивность высокочастотной силовой цепи (LLOOP), которая включает паразитную индуктивность положительного контактного вывода входного конденсатора, синхронный выпрямитель, цепь заземления и обратный путь через входной конденсатор. Показано, что индуктивность общего истока критически влияет на работу устройства, поскольку она непосредственно определяет скорость переключения приборов. Индуктивность высокочастотного контура хотя и отрицательно не влияет на скорость переключения в такой же степени, как индуктивность истока, тем не менее она отрицательно сказывается на характеристиках переключения. Еще одним важным отрицательным эффектом наличия индуктивности высокочастотного контура является выброс напряжения, наводимый во время коммутационного перехода, как показано на правой части рис. 1. Этот выброс уменьшает максимальное используемое значение напряжения прибора и увеличивает потери при переключении. Для того чтобы обеспечить высокую скорость переключения, свойственную GaN-приборам с низким FOM, необходимо минимизировать паразитные параметры конструкции и монтажа на печатной плате, а

разделив цепи затвора и питания для минимизации полной индуктивности истока. На уровне общей компоновки следует обеспечить минимальную паразитную индуктивность, используя корпус с матрицей шариковых выводов или другие аналогичные конструкции с шариковыми выводами (WLCPS). Более того, влияние общей индуктивности истока — наиболее критичного из паразитных параметров — может быть полностью исключено с помощью отдельного терминала истока, обеспечивающего только обратный путь для сигнала затвора. Для уменьшения длин цепей питания и затвора на уровне компоновки конструкции требуется конструктивно оптимизировать печатную плату с монтажом. Максимально эффективно эта задача решается установкой в параллель проводников больших габаритов, в которых ток контура протекает в противоположных направлениях, как показано на рис. 2а. Протекание тока в противоположных направлениях приводит к устранению магнитного потока за пределами индуктивного контура, при этом минимизируется длина контура и высокое вертикальное монтажное соединение может уменьшить энергию, накапливаемую внутри контура. И последнее, ширина проводника должна быть максимальной, чтобы минимизировать величину индуктивности, приходящуюся на единицу его длины. Для того чтобы обеспечить оптимальную структуру монтажа на уровне конструкции, контакты должны проектироваться широкими и располагаться перпендиб

QSWRDS(on) = (QGB+QGS2)RDS(on), где QSW — полный заряд затвора во время интервала переключения большой нагрузки. Эти идеализированные диаграммы переключения редко точно соответствуют реальным характеристикам работы схемы, поскольку паразитные параметры устройства и монтажа могут иметь существенно большие значения или даже доминировать над потерями при переключении.

Рис. 3. Диаграммы заряда затвора

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


новые технологии

Рис. 4. Схематичное представление фиксированного питания и питания при отслеживании огибающей в радиочастотном усилителе мощности

кулярно к направлению движения тока питания по соответствующей цепи. Законченная конструкция с eGaN FET типа EPC8000 показана на рис. 2б. Для повышения частоты переключения требуются приборы с более низким зарядом, которые, в свою очередь, обладают повышенным сопротивлением во включенном состоянии, при этом во время работы нужна меньшая мощность. В качестве примера такого прибора с уменьшенным зарядом на рис. 3а показан прибор типа ЕРС8004 (обладает невосприимчивостью к изменениям dv/dt, обеспечиваемой величиной отношения QGD/QGS1 меньше 1) в сравнении с самым маленьким из существующих приборов, работающих с тем же уровнем напряжения (40 В), — ЕРС2014. На рис. 3 видно уменьшение заряда затвора примерно в семь раз. Еще один важный фактор, который необходимо рассмотреть, — требование к нечувствительности к dv/dt. Первостепенным параметром для оценки нечувствительности к dv/dt является коэффициент Миллера, демонстрирующий, насколько чувствительны к возвращению на высокое значение dv/dt затворы. Для приборов типа ЕРС8000 коэффициент Миллера (QGD/QGS1) на половинном уровне рабочего напряжения понижается ниже значения 0,4, то есть гораздо ниже уровня требования, определяемого теоретически. Диаграмма заряда устройства типа ЕРС8004, на которой показаны диапазоны изменений QGS1 и QGD, представлена в правой части рис. 3. Надо отметить, что коэффициент Миллера должен оставаться меньше 1 даже при полной величине рабочего напряжения, если необходимо избежать включения, вызываемого изменением dv/dt.

Новые области применения Технология изготовления электронных приборов из GaN обеспечивает возможность их применения в новых областях. В этой статье мы рассматриваем внедре-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

157

Рис. 5. Прототип печатной платы устройства для отслеживания огибающей

ние электронных приборов на основе GaN в четырех новых направлениях — отслеживание огибающей, беспроводная передача электроэнергии, LiDAR и спутниковая связь. Мы также обсуждаем вывод этих приборов на новый целевой сегмент рынка — DC/DCпреобразование. Наше общее заключение состоит в том, что технология GaN создает рынки сбыта не меньшие по сравнению с теми, где предлагается предшествующее поколение электронных приборов на основе кремния.

Отслеживание огибающей Принцип отслеживания огибающей в радиочастотных усилителях не является открытием. Однако постоянно возрастающая необходимость в повышении эффективности базовых станций и увеличении их мощности, как и потребность в увеличении эффективности мощных радиочастотных усилителей, становятся причинами проведения интенсивных исследований и разработок в области отслеживания огибающей радиочастотного сигнала. Мощные радиочастотные усилители используются для передачи голосовых сообщений и данных через систему, в состав которой входят спутники, базовые станции и мобильные телефоны. Стандартные мощные радиочастотные усилители действуют при фиксированном уровне выходной мощности, обеспечивая ее максимум независимо от того, нужно это или нет для работы передатчика. Когда в мощном радиочастотном усилителе применяется отслеживание огибающей, усилитель не работает при фиксированном уровне мощности, но при этом точно регулируется мощность, необходимая для модуляции сигнала. Это иллюстрируется рис. 4. На рис. 5 показана плата, на которой смонтировано устройство для осуществления слежения за текущим значением огибающей. В течение многих лет инженеры пытались реализовать функцию слежения за огиба-

ющей, используя дорогостоящие LDMOSтранзисторы. Впрочем, без заметных успехов. Однако осуществление необходимой модуляции мощных сигналов стало реальностью при использовании транзисторов на основе GaN, обладающих улучшенными в области высоких частот характеристиками и способных работать при больших напряжениях и высоких скоростях переключения, что необходимо для эффективного отслеживания огибающей. Такие режимы функционирования выходят за рамки возможностей транзисторов на основе кремния. К радиочастотным мощным усилителям для систем LTE четвертого поколения предъявляются более высокие требования, чем было принято при технологиях предыдущих поколений. Именно в таких усилителях использование отслеживания огибающей дает максимальный эффект. Сегодня оборудование, изготовленное на базе технологии 4G LTE (оконечная аппаратура линии передачи данных четвертого поколения), составляет лишь 9% оборудования глобальной беспроводной платформы, но в конце концов в мире передачи данных произойдет замена оборудования сетей предыдущего, третьего поколения на оборудование сетей четвертого поколения. Использование устройств отслеживания огибающей может удвоить энергетическую эффективность мощных радиочастотных усилителей в сети четвертого поколения. Еще больший эффект можно ожидать от их применения в сети пятого поколения.

Беспроводная передача энергии Обеспечение электропитанием без помощи проводов становится все более популярным во многих потребительских товарах — например, в мобильных телефонах. До настоящего времени большинство решений по беспроводному электропитанию сосредоточено на бесконтактном наведении электрического тока частотой приблизительно 200 кГц в катушках индуктивности. www.kite.ru


158

новые технологии

Так требует стандарт Qi, основными недостатками которого являются низкая эффективность преобразования и необходимость располагать питаемые устройства в строго определенном положении для согласования передающих и принимающих катушек. Лидеры электронной промышленности, в число которых входят компании Qualcomm, Intel, Broadcom, Samsung, Delphi и Witricity, образовали консорциум A4WP для разработки и коммерциализации недавно выбранного для рассмотрения стандарта (6,78 МГц) беспроводной передачи электрической энергии (Highly Resonant Power Transfer). Способность GaN-транзисторов осуществлять переключение с высокими скоростями делает их идеальными кандидатами для областей применений, где требуется высокорезонансная передача электроэнергии и устойчивое к ошибкам обнаружение иностранных объектов. К первым областям применения беспроводного электропитания относятся мобильные телефоны, ноутбуки, планшетные компьютеры, игровые контроллеры и даже электромобили с подзарядкой без контактного подключения, то есть без реального физического соединения с источником питания. Все, что для этого требуется, — знать, на каком расстоянии возможно беспроводное получение электрической энергии. По прогнозам, к 2020 году мировой рынок устройств беспроводного питания достигнет $15,1 млрд. Потребность только в устройствах для беспроводной зарядки электромобилей, как ожидается, утроится в течение последующих восьми лет.

LiDAR Для детектирования оптического излучения и измерения расстояний требуются лазерные импульсы, позволяющие создавать трехмерные изображения или карты окружающей местности. К самым ранним областям применения этой технологии относятся карты Google для автомобилей без водителей. Способность современных GaN-транзисторов работать на высоких частотах предоставляет возможность создавать системы с повышенной удельной разрешающей способностью, с повышенным быстродействием и большей точностью. Характеристики этих электронных приборов обеспечивают возможности существенного расширения области их практического применения — например, для обнаружения движения в видеоиграх, в компьютерах, которые реагируют на жесты (что противоположно сенсорным экранам), в полностью автономных транспортных средствах.

Повышенная радиация Силовые преобразователи используются и в жестких условиях эксплуатации — таких как космос, полеты на большой высоте или в военных целях, где требуется высокая надежность оборудования, которое должно быть устойчивым к разрушающим воздействиям или к сбоям, вызванным повышенным уровнем радиации. Большинство электронных компонентов должны иметь специальную конструкцию или быть изготовленными по специальной технологии для снижения их восприимчивости к повреждению радиационным излучением. По этой причине приборы, способные противостоять воздействию радиации, разрабатываются с техническим уровнем, опережающим большинство недавних технологических достижений. Мощные кремниевые MOSFET-транзисторы не являются исключением, особенно если принять во внимание то, что усовершенствованные GaN-транзисторы имеют в 40 раз лучшие электрические характеристики и при этом могут выдерживать воздействие в десять раз более интенсивной радиации. Кроме того, поскольку коммерческие мощные GaN-транзисторы по своей природе в большей степени защищены от радиации, их использование открывает возможности для удешевления телекоммуникационных и исследовательских спутников. В настоящее время считают, что в 2023 году при общем глобальном космическом рынке объемом $200 млрд на рынке радиационно-устойчивых транзисторов общим объемом $100 млн будут доминировать именно GaN- транзисторы.

Рис. 6. Прогноз доходов компании ЕРС по областям применения ее продукции на 2018 год

DC/DC-преобзазователи в оборудовании систем передачи данных Потребность в более высокой эффективности использования электроэнергии продолжает оставаться движущей силой в электронике — в особенности в таких областях ее применения, как серверные и централизованные телекоммуникационные центры. В сравнении с полевыми транзисторами, изготовленными на основе технологии MOS, транзисторы на нитриде галлия значительно более эффективны. Снижение потерь мощности позволяет создавать изделия с более мощным выходом, повышенной плотностью мощности и увеличенной эффективностью. Все это приводит к снижению уровня энергопотребления и к меньшим счетам за электроэнергию.

Чего еще можно ожидать Мы ожидаем, что в ближайшие годы приборы на основе нитрида галлия позволят расширить область применимости известного закона Мура и сделать его приемлемым не только для дискретных транзисторов, но и для разнообразных интегральных схем, которые будут обладать превосходными характеристиками, иметь низкую стоимость при очень высоком значении их для электронной промышленности вообще. Все это соответствует законам циклического развития, когда каждое последующее поколение имеет существенно лучшие характеристики и меньшую стоимость, открывая возможности создавать новые, непредвиденные ранее области применения. Технология GaN находится в стадии очень быстрого развития. Причина тому — замена кремниевых приборов с худшими характеристиками (чья стоимость вскоре будет относительно более высокой) и вновь возникающие технические применения, которые становятся возможными из-за нового уровня электроники на основе GaN. В соответствии с прогнозом компании ЕРС (рис. 6), в 2018 году ожидается резкое повышение доходов компании от ее технологической линии по производству eGaN-полевых транзисторов. Расширяющиеся области применения, LiDAR, отслеживание огибающей и беспроводная передача энергии обеспечивают 48% этих прогнозируемых доходов. В настоящее время происходит становление данного процесса, но его влияние на рынок может быть подобно взрыву. n

Литература 1. Strydom J., Reusch D. Multi Megahertz Buck Converters using eGaN FETs for Envelope Tracking // Efficient Power Conversion Corporation (EPC), PP44 PCIM Europe. 2014. 2. http://www.power-mag.com/pdf/issuearchive/70.pdf

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


технологии

160

измерительная аппаратура

Низкочастотный генератор шума

Владимир Рентюк Rvk.modul@gmail.com

Г

енераторы шума довольно часто используются в инженерной практике для формирования сложных спектров, необходимых для достоверного анализа устойчивости трактов передачи информации. Применение шумовых сигналов для низкочастотных цепей имеет тот плюс, что, как правило, передаточные функции данных цепей могут быть приравнены к фильтрам низкой частоты (ФНЧ), чьи передаточные функции описываются полиномами низших порядков. Как известно из теории передачи информации, полоса пропускания белого шума для таких фильтров не соответствует полосе пропускания гармонических сигналов, которые обычно используются при тестировании. Так, для ФНЧ первого порядка — а это самый распространенный на практике вариант представления низкочастотного усилителя — полоса пропускания белого шума превышает полосу пропускания синусоидального сигнала в 1,571 раза [1]. Кроме приведенных примеров, генераторы шума находят применение в генераторах случайных чисел, электронных музыкальных инструментах и для создания маскирующих сигналов. На практике автор статьи использовал генераторы шума как базовый компонент

В статье рассматривается недорогой генератор низкочастотного шума с высокой линейностью спектральной характеристики в заданном диапазоне частот, стабильным среднеквадратичным уровнем выходного напряжения и возможностью добавления в спектр шума внешнего сигнала.

генераторов случайных чисел, для анализа низкочастотных устройств на воздействие сложных некоррелированных сигналов, для отладки устройств, выделяющих полезный сигнал из сложного зашумленного спектра. Одной из самых интересных работ автора был анализ прохождения через систему слуховых косточек сложного аудиосигнала, который замещался шумом. Работа была направлена на решение вопросов оптимального протезирования в отоларингологии (руководитель работ — профессор, д. м. н. А. Д. Гусаков). Именно использование шумового сигнала позволило по-новому взглянуть на некоторые процессы, участвующие в формировании кривых Флетчера — Мансона (кривые равной слышимости). Без учета этих процессов наблюдался феномен, когда по аудиометру пациент после протезирования имел нормальное звуковосприятие (для проверки при аудиометрии используются тональные сигналы), а в реальной жизни терял распознавание речи (реально это сигналы с широким спектром). В последнее время автором были успешно завершены ОКР с использованием шумовых сигналов, предусматривавшие создание двух изделий специального назначения. Первое изделие должно было реагировать на возрас-

тание уровня инфранизкочастотных шумов относительно предварительно зафиксированного их среднеквадратичного значения. Задача второго — выделение из зашумленного в относительно широком диапазоне сигнала полезного некоррелированного сигнала. Именно для этих изделий и был разработан предлагаемый прибор. Электрическая принципиальная схема генераторной части прибора представлена на рис. 1. В основе прибора в качестве первичного источника шума — генератор шума 2Г401В производства Новосибирского завода полупроводниковых приборов [2] (на рис. 1 обозначен как VD2). Выбор пал на этот диод потому, что он имеет наибольшую спектральную плотность напряжения (S) из всей серии диодов 2 Г401, а именно — не менее 30 мкВ/√Гц в нормальных климатических условиях. Граничная частота генерации шума — не менее 1 МГц, а гарантированная нижняя частота генерации шума не превышает 2 Гц. Неравномерность спектральной характеристики во всем диапазоне частот — не более +4 дБ. Поскольку прибор предназначен для формирования низкочастотных шумов в звуковом диапазоне, то в заданном диапазоне частот начальная неравномерность спектральной характеристики значи-

Рис. 1. Генератор низкочастотного шума

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


измерительная аппаратура

тельно ниже и является практически линейной. Нормирование спектральной характеристики генераторов шума типа 2Г401В дает преимущества в их использовании по сравнению с более дешевыми вариантами — стабилитронами [5], обратносмещенными p‑n‑переходами транзисторов или выходным напряжением шумов компенсационных стабилизаторов напряжения [5, 7]. Необходимый для функционирования генератора шума (VD2) ток 50 мкА задан резисторами R8, R10. Включение диода в цепь первичного источника напряжения вызвана требованием обеспечить его рабочее напряжение на уровне не менее 6 В. Выделение необходимого спектра шумового сигнала (если нужен спектр уже, чем 2 Гц — 28 кГц) осуществляется добавочным фильтром, который устанавливается непосредственно между диодом VD2 и схемой нормирования среднеквадратичного уровня выходного напряжения на ИМС DA1. Нормирование выходного шумового сигнала по среднеквадратичному уровню необходимо по нескольким причинам. Во‑первых, разные экземпляры диодов 2Г401В имеют различные значения спектральной плотности напряжения. Спектральная плотность напряжения зависит от вариации характеристик конкретного экземпляра диода, тока через диод, температуры, сопротивления и емкости нагрузки, сопротивления генератора тока формирующего рабочий ток диода [2]. Для примера на рис. 2 представлена область изменения спектральной плотности напряжения для диода 2Г401В только от величины постоянного тока. Как можно видеть из приведенной зависимости, разброс достаточно существенный. При увеличении температуры окружающей среды до +70 °С (согласно [2]) уменьшение спектральной плотности напряжения может составить до двух раз. Во‑вторых, использование фильтров, выделяющих необходимую область спектра шума, в свою очередь будет уменьшать среднеквадратичное напряжение шумов на выходе фильтра. Так, согласно техническим условиям [2], при минимальном значении спектральной плотности напряжения S = 30 мкВ/√Гц для полосы частот 2 Гц — 28 кГц среднеквадратичное напряжение шумов будет равно:

Рис. 2. Область изменения спектральной плотности напряжения диода 2Г401В в зависимости от постоянного рабочего тока

ная калибровка прибора, что затруднит его использование. Нормирование выходного сигнала по среднеквадратичному уровню осуществляется каскадом на ИМС SSM2166S (Analog Devices, Inc.) [3]. Данная ИМС представляет собой усилитель с компрессией сигнала, которая задается внешним резистором. Причем схема автоматической регулировки усиления (АРУ) работает именно по среднеквадратичному уровню входного сигнала. Передаточная характеристика устройств на базе этой ИМС представлена на рис. 3. Подробное описание практической схемы такого усилителя с заданной компрессией, выполненного на SSM2166, приведено в [4]. Встроенный в SSM2166 усилитель, управляемый напряжением (VCA), обеспечивает необходимое усиление, которое динамически регулируется контуром управления так, чтобы сохранить установленную пользователем характеристику сжатия. Степень сжатия может быть установлена от 1:1 до 15:1 относительно определенной пользователем точки поворота. Сигналы выше точки поворота ограничиваются таким образом, чтобы предотвратить

технологии

161

перегрузку и устранить эффект «схлопывания». При установке степени сжатия 1:1 усилитель, управляемый напряжением (VCA) ИМС SSM2166, может быть сконфигурирован с усилением до 20 дБ. Это усиление будет дополнением к изменяемому усилению в режимах сжатия. Входной усилитель микросхемы может быть сконфигурирован внешними элементами для обеспечения усиления от 0 до 20 дБ. Убывающее экспандирование (так называемый шумовой затвор) предотвращает усиление шума и внешних помех, лежащих ниже заданного уровня входного сигнала. ИМС серии SSM21xx содержат запатентованный детектор среднеквадратичного значения (AVG). Время усреднения (интеграции) задается внешним конденсатором (обычно используется конденсатор емкостью от 2 до 47 мкФ). Хочу обратить внимание читателей на важный момент. ИМС SSM2166 выпуска до 2009 года не имели на корпусе в строке маркировки буквы «А» (этого суффикса при заказе нет). ИМС, изготовленные после 2009 года, имеют иные номиналы резисторов для установки глубины компрессии. Я советую использовать ИМС SSM2166 выпуска после 2009‑го и последний вариант спецификации, рекомендованный в перечне литературы. Встречающийся в Интернете предыдущий вариант спецификации (Rev.D от 2009 года) имел неточности в разделах, описывающих установку степени компрессии, и в методике установки точки вращения. Коэффициент усиления каскада на ИМС SSM2166 (D2, рис. 2) примерно 40 дБ, глубина компрессии (15:1), постоянная интегрирования, шумовой затвор и точка поворота выбраны оптимальными для решения поставленной задачи. Как уже отмечалось, детально с их установкой можно ознакомиться в [3, 4]. Уровень выходного сигнала каскада на D2, равный 0,775 В, устанавливается подстроечным резистором R22. Это обеспечит пик-фактор выходного сигнала не менее 3, что достаточно для указанной области применения (как известно, пик-фактор речи со-

S√Δf = 30√(28000–2) = 5,02 мВ, для полосы частот 2–1000 Гц составит:

30√(1000–2) = 0,95 мВ, а для полосы частот 2–100 Гц составит всего:

30√(100–2) = 0,3 мВ. Таким образом, если не осуществить нормирование выходного напряжения генератора шума (как это имеет место в [5]), то в ходе измерений необходима постоян-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 3. Передаточные характеристики схем на базе ИМС SSM2166

www.kite.ru


162

технологии

измерительная аппаратура

Рис. 5. Пример реализации фильтра низкой частоты Баттерворта 4‑го порядка (частота среза 5 кГц) на ИМС LTC1563-2CGN Рис. 4. Масштабирующий усилитель

ставляет 12 дБ). Если требуется больший пик-фактор, то уровень выходного напряжения должен быть установлен ниже. На выходе генератора установлен буферный выходной каскад на ИМС D3 с регулировкой усиления, поскольку ИМС SSM2166 не имеет защиты от коротких замыканий и имеет низкую нагрузочную способность, а для эффективного использования генератора требуется регулировка уровня выходного сигнала. В рассматриваемом генераторе выходной каскад выполнен на операционном усилителе LMC7101BIM5, частота среза усилителя выбрана равной 28 кГц, при максимальном усилении. Тип операционного усилителя для данной схемы некритичен. Важно, чтобы он был типа rail-to-rail по выходу и обеспечивал работоспособность от однополярного питающего напряжения +5 В. Регулятор усиления (R7) в практической конструкции прибора — это переменный резистор с понижающим редуктором. Выходное сопротивление генератора стандартное — 600 Ом. Еще одной особенностью предлагаемого генератора является предусмотренная его конструкцией возможность добавления в спектр шумового сигнала внешних сигналов. Смешение сигналов осуществляется в буферном выходном каскаде. Сигнал подается на внешний вход «Внеш. ». Входное сопротивление этого входа стандартное — 600 Ом. Регулировка такого комплексного сигнала — общая. При необходимости шумовой сигнал можно отключать нажатием на кнопку «Шум ОТКЛ». В том случае если внешний сигнал был подан, он потупит на тестируемое устройство без шумовой составляющей. Причем все шумы, имеющиеся на входе каскада на DA1, будут подавлены не менее чем на 60 дБ, так как сработает шумовой затвор ИМС SSM2166 (рис. 4). Если необходима ступенчатая регулировка выходного сигнала, то устройство может быть дополнено масштабирующим усилителем (на рис. 1 показан как опционный), схема такого усилителя приведена на рис. 4. Именно этот выходной каскад использован в практическом варианте исполнения генератора. Масштабирующий усилитель позволяет выбрать один из четырех диапазонов установки выходного напряжения 0–1 мВ, 0–10 мВ, 0–100 мВ, 0–1 В. Приоритет имеет переключатель наименьшего диапазона. В качестве фильтров, выделяющих необходимую область спектра шума, рекомендуется использовать фильтр не ниже четвертого порядка. В практическом варианте исполнения прибора предусмотрен фильтр на специализированной ИМС LTC1563-2CGN [6] (рис. 5). Ее применение оправдано малым уровнем собственных шумов, простотой реализации на ней фильтров высоких порядков, отсутствием внешних частотозадающих конденсаторов и имеющейся на сайте компании Linear Technology свободной программой для расчета. Питание прибора осуществляется от гальванических элементов (в оригинальном генераторе от внешнего аккумулятора напряжением 12,6 В).

Рис. 6. Внешний вид генератора шума

Описанный прибор особенно будет полезен всем, кому требуется генератор шума в полевых условиях, и особенно тем, кто не так часто использует подобные генераторы. Стабильность в работе, отсутствие необходимости постоянной подстройки, дешевизна и универсальность прибора (как отмечалось, он может быть настроен на любой нужный спектр шума и добавить в него внешний сигнал) является веским аргументом и избавит потребителя от покупки весьма дорогостоящего профессионального генератора. Внешний вид генератора шума, который используется автором статьи, можно увидеть на рис. 6. Общее решение предлагаемого генератора в кратком описание впервые было опубликовано в [8]. n

Литература 1. Достал И. Операционные усилители. Пер. с англ. М.: Мир, 1982. 2. Кремниевые p‑n‑генераторы шума 2Г401А‑2Г401В http://www.155la3.ru/ datafiles/2g401_tu_1990.pdf 3. SSM2166 Microphone Preamplifier with Variable Compression and Noise Gating. Rev.E, 2013. Analog Devices Inc. http://www.analog.com/static/imported-files/ data_sheets/SSM2166.pdf 4. Рентюк В. Практика использования ИМС усилителей с АРУ серии SSM21xx // Радиолоцман. 2014. Май. Июнь. 5. Hageman S. White noise source flat from 1Hz to 100kHz. EDN. 2013. September 12. 6. LTC1563-2/LTC1563-3 Active RC, 4th Order Lowpass Filter Family LT 1205 REV A Linear Technology Corp. 2005. 7. Рентюк В. Высокоэффективный генератор шума на базе стабилизатора напряжения // Компоненты и технологии. 2014. № 1. 8. Rentyuk V. Versatile noise generator tests signal recovery gear // EDN. 2014. May, 19.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


163

новости

генераторы

Кварцевые генераторы Microsemi серии 9800B для применения в космосе и долгосрочной точности. Генераторы дополняют линейку генераторов 9600 и 9700, предназначенных для использования в военных, научных и коммерческих спутниковых разработках. Генераторы серии 9800 выполнены в малогабаритном корпусе размерами 1,3×1,3×1,3 дюйма, потребляют 1,3 Вт во время работы в вакууме и способны устойчиво функционировать при высоких уровнях ударов и вибраций. В настоящее время термостатированные кварцевые генераторы серии 9800 доступны с фиксированной частотой и начальной точностью ±2e×10–7 и с управляемым напряжением и диапазоном

настройки ±3 ppm для точной установки частоты или для фазовой настройки. www.icquest.ru

Реклама

Корпорация Microsemi, в 2013 году поглотившая компанию Symmetricom, производит более 670 моделей кварцевых генераторов и 70 цезиевых атомных источников тактовых сигналов для космических программ. Компания Microsemi представила обновленную версию сертифицированных для применения в космосе термостатированных кварцевых генераторов серии 9800B, которые обеспечивают значение частоты выходного сигнала от 40 до 125 МГц. Обновленная разработка предлагает улучшенные характеристики фазового шума, температурной стабильности, краткосрочной стабильности

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


технологии

164

Стефани Мичел (Stephanie Michel)

измерительная аппаратура

Детектирование комплексно модулированных оптических сигналов

В

системах с амплитудной модуляцией (OOK, on/off keying) мы можем детектировать сигнал с помощью фотодиода, который преобразует оптическую мощность в электрический ток I photo. Возникающий в фотодиоде фототок I photo прямо пропорционален произведению величины оптического сигнала S на комплексно-сопряженное с ним значение S*. Из уравнения на рис. 1 следует, что результат содержит только амплитуду AS. Ток Iphoto не несет никакой информации о круговой частоте wS и фазе ΦS. Таким образом, показанный справа сигнал с квадратурной фазовой манипуляцией (QPSK) во временной области нельзя однозначно сопоставить с показанной слева диаграммой IQ. Можно лишь сказать, что нижняя, пересекающая нуль кривая представляет диагональные переходы между четырьмя точками созвездия, а средняя кривая — внешние переходы. Плоский сигнал через фотодиод соответствует случаям, когда фаза не меняется, то есть когда за символом следует такой же символ. Для однозначного определения переходов между символами нужно использовать более сложные методы, обеспечивающие полное детектирование всех электрических характеристик сигнала, включая информацию о фазе. Дополнительно усложняет проблему тот факт, что в современных оптических коммуникационных системах используются длины волн, близкие к инфракрасному диапазону, например 1550 нм, что соответствует частоте около 200 ТГц. Таким образом, скорость изменения электрического поля во времени и пространстве на несколько порядков выше скорости работы имеющихся электронных устройств, работающих в мега- и гигагерцевом диапазоне.

Рис. 1. При непосредственном детектировании фототок Iphoto содержит информацию только об амплитуде оптического сигнала

На помощь приходит гетеродин Ключом к решению этой проблемы является измерение не абсолютной фазы, а фазы по отношению к известному опорному сигналу. Базовая схема такого детектора показана на рис. 2. «Идеальный»

Рис. 2. Смешение полезного сигнала S с опорным сигналом R позволяет измерять разность фаз; на диаграммах показан сигнал с QPSK, смешанный с разными опорными сигналами

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


измерительная аппаратура

технологии

165

монохроматический лазер, создающий опорный сигнал R, часто называют гетеродином. Полезный сигнал S и опорный сигнал R подаются на оптический сумматор и детектируются фотодиодом. В результате ток Iphoto будет пропорционален произведению суммы двух сигналов (R+S) и комплексно-сопряженной с ней величины (R+S)*. Уравнение на рис. 2 показывает, что результат содержит разность фаз ΔΦ = ΦS–ΦR и разность частот Δω = ωS–ωR. Из значения ΔΦ мы можем получить зависимость ΦS от времени. Опорную частоту ωR выбирают близкой к частоте ωS, в результате Δω теперь получается достаточно малой для электронной обработки. Фазозависимый член формулы называется гетеродинной составляющей, или биением, поскольку получается в результате наложения, или биения, двух сигналов с близкими круговыми частотами. Также в формуле имеется член, содержащий квадрат амплитуды, который не оказывает влияния на результат, если модулируется только фаза, а амплитуда остается неизменной, что и происходит в модуляции QPSK. В нижней части рис. 2 показан случай без опорного сигнала с единственной составляющей AS2. Если добавляется опорный сигнал, уровень которого велик по сравнению с полезным сигналом, то биения смещаются вверх на величину AR2. Было бы полезно получить только сами биения без такого смещения.

Подавление фазонезависимой составляющей с помощью балансного приемника Как показано на рис. 3, мы можем подавить все другие фазонезависимые составляющие с помощью балансного приемника. В этом случае детектируемый сигнал S и опорный сигнал R суммируются в одной ветви и вычитаются в другой ветви оптического сумматора 22 (в качестве которого может использоваться сумматор волоконно-оптической или атмосферной оптической линии). Каждый из результирующих сигналов детектируется своим фотодиодом. В итоге получается разность между двумя фототоками. Из уравнения, приведенного на рис. 3, видно, что все другие составляющие подавляются и остаются только биения. Дополнительным преимуществом балансного детектирования является удвоение результирующего фототока.

Рис. 3. При использовании балансного приемника остаются только биения с удвоенной амплитудой

Переход к концепции IQ: использование IQ-демодулятора Для того чтобы восстановить информацию о фазе и амплитуде, когерентный приемник должен выдавать на двух отдельных выходах синфазную (I) и квадратурную (Q) составляющие. Для этого нам понадобится второй балансный детектор. Один гетеродин дает опорный сигнал для двух детекторов, но для получения составляющей Q нужно сдвинуть фазу на π/2. На рис. 4 показана схема детектирования сигнала QPSK, которая называется IQ-демодулятором.

Рис. 4. IQ-демодулятор выполняет два независимых измерения, которые дают информацию о фазе и амплитуде

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


166

технологии

измерительная аппаратура

Эта схема работает только для когерентных сигналов, не подвергшихся поляризационному разделению. Кроме того, сигнал смешивается только с составляющей сигнала гетеродина с тем же состоянием поляризации в детекторе.

Расширение концепции IQ на двойную поляризацию

Рис. 5. IQ-демодулятор для разделения поляризации

Для случая двойной поляризации концепцию нужно доработать. Основной физический принцип остается тем же: после разделителя поляризации сигнал поступает на два IQдемодулятора — один для горизонтальной поляризации x и другой для вертикальной поляризации y. Опорные сигналы для всех ветвей поступают из одного гетеродина. Блок-схема такого демодулятора приведена на рис. 5. Как видите, в этом случае для получения координат I и Q используются четыре выходных сигнала, по одному на каждое направление поляризации. Применяемые в уравнении верхние индексы h и v обозначают горизонтальную и вертикальную составляющие поляризации сигнала по отношению к поляризации опорного сигнала приемника. Такая архитектура с разделением поляризации гарантирует смешение всех сигналов с сигналом гетеродина независимо от поляризации на входе. Поэтому данная схема используется очень широко, и даже для сигналов, не имеющих двойной поляризации. До сих пор мы рассматривали приемники с частотой гетеродина ωR, отличной от частоты сигнала ωS. Такие приемники называются гетеродинными. В гомодинных приемниках гетеродин имеет ту же частоту, что и несущий сигнал. Преимущество: упомянутые выше составляющие больше не зависят от частоты. На рис. 6 показана необходимая полоса электрического сигнала для гомодинного и гетеродинного приемников. Для гомодинного детектирования, когда частота гетеродина равна частоте сигнала, нужна полоса, равная половине оптической полосы сигнала. Для гетеродинного приемника необходимая полоса электрического сигнала растет с ростом разности частот гетеродина и сигнала.

Использование в качестве опорного сигнала его копии с задержкой: интерферометры с линией задержки

Рис. 6. Требуемая для когерентного детектирования полоса электрического сигнала зависит от разности частот сигнала и опорной частоты

После всего рассмотренного нами можно подумать, что для восстановления фазовой информации без гетеродина не обойтись. А как насчет суммирования сигнала с его собственной копией? В этом случае мы тоже получаем опорный сигнал, для которого ωR = ωS. Кому-то такой подход покажется не очень обещающим, поскольку не совсем ясно, как он позволяет получить дополнительную информацию о фазе. Тем не менее такой «само-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


измерительная аппаратура

гомодинный» подход весьма полезен, ведь нас интересует лишь изменение фазы во времени. Так что если разделить сигнал на две части и сложить его с собственной «задержанной» копией, можно получить информацию об изменении фазы. Преимуществом такого метода является отсутствие погрешности, связанной с медленными (по сравнению с символьной скоростью) флуктуациями внешнего гетеродина и самой несущей. Такой приемник принято называть интерферометром с линией задержки. На рис. 7 показан балансный интерферометр с линией задержки с сигналами S(t) и S(t+T), задержанным на время T. Приведенное здесь уравнение демонстрирует зависимость результата от косинуса разности фаз между исходным сигналом и его задержанной копией. В связи с периодичностью этой функции однозначно удается идентифицировать только сдвиг фаз в диапазоне от 0 до π и только для задержек T, примерно кратных периоду несущей 2π/ωS. Этого достаточно для двоичной фазовой манипуляции (BPSK), но для восстановления фазы сигнала квадратурной фазовой манипуляции (QPSK) и схем модуляции более высоких порядков нужно добавить еще один интерферометр, сдвинутый по фазе на π/2 по отношению к первому интерферометру, что позволяет охватить весь диапазон фаз от 0 до 2p. На рис. 8 показана схема с дополнительным интерферометром для приема двух независимых составляющих I и Q. Дополнительно измеряется Q1–Q2, тогда как разность I1–I2 остается неизменной. Подобно гетеродинному приемнику, интерферометр с линией задержки можно расширить для детектирования сигналов, чувствительных к поляризации. Используя интерферометр с линией задержки, мы обходимся без внешнего гетеродина и, следовательно, избавляемся от связанного с ним фазового шума; кроме того, такая схема требует меньшей обработки сигнала. Тем не менее этот подход обладает недостатками, которые всетаки могут склонить нас к применению гетеродинного приемника. Во‑первых, для измерения изменений фазы по времени с помощью интерферометра с линией задержки без восстановления тактовой частоты (CDR) задержка и период выборки должны быть значительно меньше периода следования символов. Но сегодня символьные скорости достигли уровня, при котором это условие соблюдать нелегко. Кроме того, для сигналов с малой амплитудой чувствительность измерений снижается, поскольку опорный сигнал тоже должен быть низкоуровневым, что является причиной сильной зависимости от шума в канале передачи. Для реализации стробоско-

технологии

167

Рис. 7. Балансный интерферометр с линией задержки

пического метода измерения приходится увеличивать время измерения, и к тому же необходим запуск. Таким образом, гомодинный приемник оказывается не слишком гибким. Пока мы рассматривали исключительно методы детектирования во временной области. В качестве альтернативы можно детектировать частотный спектр и с помощью обратного преобразования Фурье получать из него сигнал во временной области.

Рис. 8. Расширенный интерферометр с линией задержки для QPSK и видов модуляции более высокого порядка

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

www.kite.ru


технологии

168

измерительная аппаратура

Рис. 9. Схема когерентного частотного детектирования с разделением по поляризации

Детектирование в частотной области Для восстановления комплексно модулированного оптического сигнала из его спектра необходимо получить информацию о его фазе и амплитуде. Это можно сделать с помощью сложного анализатора спектра, который разделяет частотные составляющие оптического сигнала с помощью дисперсионного элемента. Все частотные диапазоны можно детектировать одновременно несколькими детекторами или поочередно посредством сканирующего узкополосного оптического фильтра и одного детектора. Для восстановления фазы и амплитуды мы снова применяем гетеродин в качестве источника опорного сигнала. Для восстановления обеих составляющих нам понадобится источник, излучающий две оптические частоты. На рис. 9 показана схема, необходимая для измерения сложного спектра с разделением по поляризации. Ключевым достоинством метода детектирования в частотной области является его практически неограниченная полоса анализа, что означает и неограниченное разрешение по времени. Полоса зависит от диапазона

свипирования гетеродина; в результате современные внешние перестраиваемые резонаторные лазеры позволяют использовать полосы терагерцевого диапазона. Другое важное преимущество — возможность обойтись без высокоскоростного приемника. Однако у этого метода есть и существенные недостатки. Например, он применим только к периодическим сигналам, поскольку они дают необходимые дискретные спектральные пики. Кроме того, в этом случае нужен источник тактовой частоты символов или последовательностей. Точность восстановленного сигнала во временной области непосредственно зависит от спектрального разрешения, определяющего число боковых полос, которые можно разделить. Спектральное разрешение, которое можно достичь с помощью современных технологий, ограничивает длину последовательности несколькими десятками символов. Перечисленные факторы и то, что этот метод не дает результатов в реальном времени, делают детектирование в частотной области неприменимым для приемников коммуникационных сетей. Фактически нам придется столкнуться с большим временем измерения, очень сложными измерительными схемами и методами обработки сигнала.

И наконец, в частотном детектировании все непериодические эффекты усредняются. Это справедливо и для поляризационно-модовой дисперсии (PMD), и, следовательно, данный эффект нельзя компенсировать.

Так что же выбрать? «Самогомодинные» схемы не требуют сложной обработки сигнала и менее чувствительны к фазовому шуму. Но в то же время они не очень гибки, работают только вблизи заданной символьной скорости и менее чувствительны по сравнению с гетеродинными схемами. Методы гетеродинного детектирования во временной области предлагают максимальную гибкость. В отличие от детектирования в частотной области, их можно использовать для детектирования в реальном масштабе времени. Следовательно, они более пригодны для «живых» сигналов в сетях передачи данных. Дискретизация в эквивалентном масштабе времени (стробоскопический метод) работает только для периодически повторяющихся сигналов ограниченной длины. Дискретизация в реальном масштабе времени позволяет полностью восстановить сигнал во всех областях без ограничений по формату модуляции. В методах гетеродинного детектирования во временной области отсутствуют также и ограничения на длину сигнала. В ходе обработки сигнала можно компенсировать поляризационномодовую (PMD) и хроматическую дисперсию (CD). В этом случае пропускная способность ограничивается только обработкой сигнала. В то же время нужно помнить, что этот метод требует применения быстрого четырехканального оборудования, такого как высокопроизводительный дигитайзер реального времени с очень малыми уровнями джиттера и шума и высоким эффективным числом разрядов (ENOB) во всем частотном диапазоне. n

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


измерительная аппаратура

технологии

169

Восьмиканальные осциллографы высокой четкости Teledyne LeCroy HDO8000 для электроэнергетики

Владимир Дьяконов, д. т. н., профессор vpdyak@yandex.ru

Осциллографы в силовой электронике В настоящее время рынок силовой электроники и энергетики динамично развивается, особенно в области мощных 3‑фазных систем энергопреобразования, строящихся на основе распределенных альтернативных источников электроэнергии (солнечные батареи, ветрогенераторы и пр.), гибридных и электрических двигательных установок, используемых в гибридных и электромобилях. Количественное и качественное расширение спектра требований по повышению эффективности электромоторов и двигателей переменного тока также стимулирует развитие спроса на 3‑фазную силовую электронику. Серия многоканальных цифровых осциллографов HDO8000 — идеальное решение для анализа 3‑фазных сетей. Число точек измерения в 3‑фазных системах возрастает более чем втрое, и требуется более качественный контроль даже малых изменений входных и выходных токов и напряжений, с учетом их пульсаций. Четырех аналоговых каналов, присущих обычным осциллографам, уже явно недостаточно для изучения и размещения осциллограмм на экране дисплея. Вот почему осциллографы высокой точности HDO с увеличенным вдвое (до 8) числом аналоговых каналов и большим размером дисплея стали весьма востребованными приборами, несмотря на естественный рост их габаритов и массы. Кроме того, высокопроизводительные 8‑канальные осциллографы необходимы при отладке различных встроенных систем, таких как автомобильные блоки электронного управления, бытовая техника и промышленные установки, в которых сочетаются

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Совсем недавно, 17 июня 2014 года, популярные серии осциллографов высокой четкости фирмы Teledyne LeCroy (США) пополнились новейшими 8‑канальными приборами серии HDO8000 с сенсорным экраном увеличенного размера. Новые приборы универсальны, но основное их назначение — исследования и контроль компонентов в электроэнергетике, от источников электропитания до систем электропривода и электроэнергетических систем различного назначения.

элементы силовой электроники, источники питания, таймеры, логические устройства, источники последовательных данных и датчики аналоговых сигналов. Многоканальные системы, использующие технологию высокого разрешения HD4096, обеспечивают максимально достоверное и оптимально быстрое понимание поведения встроенной системы, поиск и устранение проблем при отладке электронных продуктов. В конце 2013 года Teledyne LeCroy представила миру свои новейшие разработки 12‑разрядных приборов высокой точности и четкости серий HDO4000/6000, в том числе с логическим анализатором HDO4000‑MS/ HDO6000‑MS [1]. Эти осциллографы впервые в мире имеют 12‑битовые аналого-цифровые преобразователи (АЦП) в каналах вертикального отклонения вместо 8‑битовых, повышенное до 4096 (вместо 256) число ступеней квантования и втрое меньшую, чем у большинства 8‑разрядных осциллографов, погрешность (0,5%) вертикального отклонения. Chestnut Ridge, NY, 17 июня 2014 — американская компания Teledyne LeCroy анонсировала новейшую серию многоканальных цифровых осциллографов HDO8000 [2, 3]. Модели серии HDO8000 обеспечивают 8 аналоговых каналов с полосой пропускания 350 МГц (HDO8038), 500 МГц (HDO8058) и 1 ГГц (HDO8108) и аппаратным разрешением по вертикали 12 бит во всем диапазоне входных частот. Осциллографы HDO8000 обладают максимальной функциональностью и обеспечивают лучшее вложение средств пользователей в различных областях науки, техники и производства по созданию и развитию современных и перспективных технологий. Широкий набор опций — 16‑канальный логический анализатор, синхронизация

и декодирование 19 протоколов последовательной передачи данных, увеличение объема памяти до 250 Мбайт на канал, анализ электрической мощности, анализ джиттера, а также поддержка более 30 пробников (токовых, дифференциальных, высоковольтных с интерфейсом ProBus) — все это значительно расширяет область измерительных приложений осциллографов серии HDO8000.

Технология высокого разрешения HD4096 и мультизакладок Q‑Scape В серии HDO8000 технология высокого разрешения HD4096 реализована на базе 12‑битных АЦП с высокой частотой дискретизации, использующих малошумящие входные усилители и системную архитектуру с низким уровнем собственных шумов. Это позволяет осциллографам HDO8000 выполнять захват сигналов в полосе частот до 1 ГГц с высокой дискретизацией и отображать результат с разрешением, которое в 16 раз (!!!) выше, чем у осциллографов других производителей. Дополнительно HDO8000 программно реализует технологию эквивалентного разрешения (ERes), которая повышает разрешение по вертикали до 15‑битного АЦП, что позволяет различать близко расположенные уровни напряжения. HDO8000 поставляется с объемом памяти 50 Мбайт на каждый канал, которая опционально может быть увеличена до 100 или 250 Мбайт на канал. Большой объем памяти является идеальным решением при проведении исследований в объектах силовой электроники и во встроенных электромеханических устройствах, в которых высокоскоростные сигналы микропроцессоров сочетаwww.kite.ru


технологии

170

ются с низкоскоростными ШИМ-сигналами, последовательными данными и сигналами аналоговых датчиков. Широчайший набор аналитических инструментов в моделях Teledyne LeCroy обеспечивает полномасштабный статистический, частотный и временной анализ, применяя графическое представление результатов (треки, тренды, гистограммы) и другие возможности измерительных и математических функций. Например, режим построения треков особенно актуален при проведении анализа ШИМ-сигналов. В HDO8000 доступно и множество других приложений, реализуемых программно (опции). Новая технология Q‑Scape, созданная компанией Teledyne LeCroy, обеспечивает оптимальное использование рабочей части экрана в режимах многоканального отображения, масштабирования и математической обработки (возможно отображение до 40 осциллограмм одновременно). HDO8000 имеет широкоформатный цветной сенсорный дисплей высокого разрешения с диагональю почти 40 см, на котором можно организовывать до четырех выделенных областей. Осциллограммы легко перемещаются в соответствующую область-закладку для более детального исследования и анализа. Так, при мониторинге 3‑фазной системы технология Q‑Scape позволяет наблюдать процессы по каждой фазе на отдельной закладке. Через видеопорт DisplayPort 1.2 HDO8000 поддерживает подключение внешнего WQXGA-монитора с огромным разрешением — до 38402160. В этом случае на большой экран можно вывести информацию каждой из закладок Q‑Scape, а экран осциллографа использовать для отображения результатов действия какой-либо внешней программы обработки данных (например, MATLAB [4]).

Многоканальные осциллографы Teledyne LeCroy HDO8000 Серия новейших 8‑канальных цифровых запоминающих осциллографов HDO8000 (рис. 1) имеет полосу частот от 0,35 до 1 ГГц при частоте дискретизации 2,5 ГГц на канал. Это с избытком превышает требования к осциллографам для наблюдения сигналов в энергетике, включая силовые устройства и устройства питания с высокочастотным резонансным преобразованием и широтноимпульсной модуляцией (ШИМ). Приборы созданы на основе открытой архитектуры и имеют выстроенный мощный Таблица. Классификационные параметры осциллографов HDO8000

Модель

Полоса, ГГц

Время нарастания, пс

Частота дискретизации, ГГц

HDP838 HDO858 HDO818

0,35 0,5 1

1000 700 450

2,5

Объем памяти, Мбайт 50–256 16–256

измерительная аппаратура

Рис. 1. Внешний вид осциллографа HDO8000

и быстродействующий персональный компьютер на базе 4‑ядерного микропроцессора Intel Core 2 Quad с тактовой частотой 2,5 ГГц и емкостью оперативной памяти 8 Гбайт. Компьютер оснащен твердотельным жестким диском большой емкости (не менее 40 Гбайт), на котором размещены программы обслуживания осциллографа и внешние программы, например MATLAB [4]. Возможна и полноценная работа с внешним компьютером. Осциллографы имеют очень большой сенсорный ЖК-экран 39,1 см и входные сопротивления 50 Ом и 1 МОм. Классификационные параметры приборов даны в таблице. Основные характеристики приборов: • Число входов (аналоговых/цифровых): 8/16. • Полоса частот аналоговая: 0,35–1 ГГц. • Время нарастания: 450–1000 пс. • Частота дискретизации: 2,5 ГГц. • Входное сопротивление: 50 Ом и 1 МОм. • Чувствительность по вертикали: от 1 мВ/дел. до 10 В/дел. (вход 1 МОм) и 1 В/дел. (вход 50 Ом). • Развертка: от 20 пс/дел. до 5000 с/дел. • Дисплей сенсорный, цветной, 12 дюймов, разрешение 1280800 пикселей. • Отображение одновременно 12 результатов автоматических измерений, 12 функций с их параметрами, 12 математических функций и 12 осциллограмм памяти. • Режимы работы дисплея: Auto, Single, Dual, Quad, Octal, XY, Single+XY, Dual+XY, Tandem, Quattro, Twelve, Sixteen. • АЦП 12 бит, с увеличением до 15 бит в режиме эквивалентного разрешения (ERes). • Анализ смешанных сигналов (16 цифровых каналов): HDO4000‑MS и HDO6000‑MS; HDO8000 (с опцией логического анализатора HDO8k-MS). • Длинная память (до 250 Мбайт на канал).

Рис. 2. Панель управления осциллографа HDO8000

• Раcширенные инструменты поиска событий: WaveScan. • Генератор отчетов LabNotebook (записная книжка). • Режим предыстории History (просмотр ранее собранных данных). • Большой цветной сенсорный дисплей с технологией MultiTouch (диагональ 40 см). • Обновленный режим анализатора спектра (c 2D/3D-визуализацией спектрограмм). • Обширный набор запуска и синхронизации развертки. • Синхронизация и декодирование последовательных протоколов. • Анализ смешанных сигналов (16 цифровых каналов): HDO4000‑MS и HDO6000‑MS; HDO8000 (с опцией логического анализатора HDO8k-MS). • Расширенный анализ мощности. • Габариты 37417280 мм, вес 12,27 кг. • Потребляемая мощность: 420 Вт. • Число типов пробников: более 30. Увеличение числа входов потребовало изменения панели управления прибором. Передняя панель имеет строгий черный цвет, а панель управления выполнена в стиле панели управления самолетом (рис. 2). Расположение органов управления тщательно продумано, и их число сокращено до минимума с сохранением удобств работы. Панель разбита по функциональному признаку на ряд зон с органами управления (кнопками и поворотными ручками в каждой). Поворотные ручки имеют переключатель, срабатывающий при нажатии на ручку: при этом устанавливается соответствующий параметр по умолчанию — например, нулевое смещение для ручки смещения по вертикали. Некоторые кнопки имеют цветовую окраску — так, кнопки включения/выключения каналов окрашены в цвета осциллограмм каналов.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


измерительная аппаратура

Рис. 3. Виртуальная панель установок спектрального анализа

Рис. 4. Осциллограммы сигналов от ключевого источника электропитания с ШИМ

технологии

171

Рис. 5. Виртуальная панель управления анализом спектра

Рис. 6. Осциллограмма спектрального анализа с применением опции Spectral

Снятие осциллограмм Правила работы с HDO8000 не отличаются от описанных в обзоре [1] по работе с осциллографами HDO4000/6000. Приведенные примеры применения также относятся и к осциллографам HDO8000. Ниже приводится ряд новых примеров, наглядно иллюстрирующих работу с приборами. Для переключения входов используется виртуальная панель (рис. 3) с сенсорным управлением. Она создается на экране осциллографа. На рис. 4 показаны осциллограммы сигналов от однофазного источника электропитания с широтно-импульсной модуляцией ключа. Следует отметить высокую четкость представления осциллограмм и их мельчайших подробностей. Выбор автоматических измерений (свыше 50 типов) у осциллографов серии HDO8000 осуществляется из окна, как и в осциллографах HDO4000/6000. Оно содержит вкладки, в которых автоматические измерения собраны в различные группы — горизонтальные (временные), вертикальные (амплитудные), импульсные и т. д. Каждое измерение создает свой дескриптор, и его активизация открывает панель настройки автоматических измерений. Пример вывода таблицы автоматических измерений дан на рис. 4 (снизу под осциллограммами). Одновременно можно выводить до 12 измерений. Прибор проводит непрерывные измерения ряда статистических параметров осциллограмм. При желании данные статистики можно вывести в дескрипторы осциллограмм и построить по ним микрогистограммы внутри дескрипторов или в полном размере в отдельных окнах. Реализация БПФ в приборах имеет функции измерения спектральной плотности, реальной и мнимой частей сигнала, амплитуды, фазы и т. д. Специальная опция включает настройки управления, подобные основным настройкам анализатора спектра, — такие как установка центральной частоты, полосы обзора (или начальной и конечной частоты) и полосы частот разрешения RBW (рис. 5). При БПФ полоса ограничена половиной максимальной частоты дискретизации. Большое значение последней расширяет полосу анализа спектра, а вместе с большой памятью осциллограмм обеспечивает получение малых частот RBW. Осциллограммы спектрального анализа и 3D-спектрограмма показаны на рис. 6.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Рис. 7. Работа с сегментами памяти

Малый участок осциллограммы (он на ней подсвечен) можно развернуть на весь экран (режим Zoom или «электронной лупы времени»). Осциллограф имеет сегментацию памяти (до 65 000 сегментов). Работу с сегментами наглядно иллюстрирует рис. 7, на котором показано выделение и расширенный просмотр сегментов осциллограммы.

Осциллограф в роли логического анализатора К осциллографу можно спереди подключить пробник MS‑250 для логических сигналов (на 16 логических каналов с частотой до 250 МГц) (рис. 8). Это превращает осциллограф в мультисигнальный (MSO) и позволяет тестировать параллельные шины передачи данных, логические и цифровые устройства, в частности микропроцессорные. В последнее время они находят широкое применение в электроэнергетических установках. Можно выставлять различные пороги цифровых сигналов, в том числе присущие стандартным микросхемам. Одной из основных функций современных осциллографов является тестирование последовательных шин и интерфейсов, выполненных по различным стандартам: www.kite.ru


технологии

172

Рис. 8. Осциллограф в роли логического анализатора

измерительная аппаратура

Рис. 9. Анализ шины последовательных данных

• I2C, SPI, UART. • CAN, LIN, FlexRay, SENT. • Ethernet 10/100BaseT. • USB 1.0/1.1/2.0, USB 2.0‑HSIC. • Audio (I2S, LJ, RJ, TDM). • MIL-STD‑1553, ARINC 429. • MIPI D‑PHY, DigRF 3G, DigRFv4. • Manchester, NRZ. Декодирование последовательных данных АО соответствующим протоколам (19 протоколов) осуществляется самим осциллографом. На рис. 9 показан экран осциллографа при анализе последовательной шины высокоскоростных данных. Однако полный анализ выполняется для выбранных линий по специальным опциям, приобретаемым отдельно.

Широкий выбор пробников Подключение осциллографов к тестируемым устройствам реализуется с помощью пробников. Приборы серии HDO8000 позволяют использовать до 30 типов пробников: пассивных, активных, высоковольтных, токовых, дифференциальных и т. д. Пробники имеют достаточную комплектацию. Некоторые из них показаны на рис. 10.

Рис. 10. Типовые пробники для осциллографов серии HDO8000

Осциллограф в электроэнергетике Как уже отмечалось, осциллографы серии HDO8000 предназначены, прежде всего, для тестирования объектов электроэнергетики. В области энергетики малой мощности (примерно до 1 кВт) наблюдается тенденция перехода от устройств с силовыми трансформаторами к «бестрансформаторным» устройствам с высокочастотным широтноимпульсным (ШИМ) регулированием. Даже простейшие из них — однофазные (рис. 11) — имеют рабочие частоты преобразования до сотен килогерц и единиц мегагерц и ряд реактивностей, оказывающих существенное влияние на их переходные процессы.

Рис. 11. Функциональная схема однофазного бестрансформаторного источника питания

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


измерительная аппаратура

Рис. 12. Осциллограммы ключа с комментариями

технологии

173

Рис. 14. Контроль блока управления двигателя постоянного тока

а

Рис. 15. Подсистема электропитания двигателя трехфазным током б

в

Рис. 13. Электродвигатели различного типа: а) трехфазный двигатель переменного тока промышленного назначения; б) электродвигатель с постоянными магнитами; в) серводвигатель постоянного тока

На рис. 12 показаны осциллограммы импульсных процессов переключения ключа в бестрансформаторном источнике электропитания. Процессы отличаются сложностью и наличием медленных и быстрых стадий с быстрыми колебательными процессами. Для исследования таких переходных процессов необходимы широкополосные осциллографы, к которым и относятся HDO8000. Другими широко распространенными устройствами являются контроллеры электродвигателей самого различного типа (рис. 13). Двигатели представляют собой сложную нелинейную нагрузку на источник электропитания, характерный своими стадиями динамических процессов, например разгона (пуска) и торможения. Источник электропитания может осуществлять управление двигателями по заданному закону с предельно высоким КПД. Часто используется микропроцессорное управление двигателем.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014

Работа двигателей в динамике оказывает существенное влияние на управляющие и питающие устройства и требует создания специальных устройств контроля — контроллеров. Их тестирование и изучение невозможны без применения осциллографов, подобных HDO8000 (рис. 14). В промышленности значительное улучшение энергетических показателей достигается переходом к трехфазным системам. Например, электродвигатели могут питаться и управляться от управляемых выпрямителей трехфазного тока, в которых применяются трехфазные мостовые выпрямители на тиристорах, полевых транзисторах и биполярных транзисторах с полевым управлением IGBT (рис. 15). Все эти устройства имеют сложные и специфические переходные процессы, которые необходимо тестировать во всех трех фазах, что и требует применения многоканальных осциллографов. Энергетика больших мощностей строится на основе систем передачи на постоянном и трехфазном переменном токе (рис. 16). Здесь число рабочих процессов очень велико и многоканальные осциллографы необходимы как приборы для поддержки эксплуатации сложного энергетического оборудования и его тестирования и исследования.

Рис. 16. Трехфазная подстанция и линия передачи трехфазного тока

Режим XY Осциллографы HDO8000 имеют усовершенствованный режим работы XY для построения параметрических кривых, таких как фигуры Лиссажу. В режиме XY (рис. 17) для построения фигур по вертикали используется сигнал от одного канала YT, а по горизонтали — другого канала YT. Оба канала откалиброваны. С помощью фигур Лиссажу удобно производить сравнение двух низких частот (например, сетей переменного тока) и выполнять фазовые измерения. www.kite.ru


174

технологии

измерительная аппаратура

Рис. 17. Осциллограммы в режиме работы XY

Рис. 20. Вид экрана при большом числе осциллограмм

Осциллографы HDO8000 позволяют использовать режим XY для построения петель гистерезиса, характерных для нелинейных трансформаторов и дросселей в электроэнергетических системах. Также гистерезисный характер имеют передаточные функции некоторых электронных схем и устройств.

Работа с внешними дисплеями Осциллографы серии HDO8000 могут эксплуатироваться с дополнительным внешним дисплеем с большим экраном и высоким разрешением (рис. 18). По сравнению с экраном осциллографов HDO4000/6000 область просмотра осциллограмм и других данных увеличена втрое, что позволяет в деталях рассматривать сложнейшие процессы. Это особенно ценно при контроле крупных энергетических систем — электростанций и подстанций. Для управления форматом дисплея служит виртуальная панель, показанная на рис. 19. Увеличение общей площади экранов позволяет разместить на них большее число окон с различным оформлением и поместить осциллограммы и диаграммы различного типа (рис. 20). Этому способствует и высокая разрешающая способность экранов основного и дополнительного дисплеев.

Рис. 18. Осциллограф с дополнительным дисплеем

Заключение Осциллографы серии HDO8000 прекрасно приспособлены для контроля сложных процессов в энергетических установках и в энергетическом оборудовании. В то же время они остаются весьма совершенными приборами общего применения. Осциллографы HDO8000 обладают максимальной функциональностью и обеспечивают лучшее вложение средств пользователей в различных

Рис. 19. Виртуальная панель управления дисплеями

областях науки, техники и производства по созданию и развитию современных и перспективных технологий. n

Реклама

Литература 1. Дьяконов В. Осциллографы высокого разрешения Teledyne LeCroy и их возможности // Современная электроника. 2014. № 2. 2. HDO8000 Series Oscilloscopes. Maximum Performance — “Further, Finer, Faster”. Teledyne LeCroy, 2014. 3. HDO8000 High Definition Oscilloscopes 350 MHz – 1 GHz. Teledyne LeCroy, 2014. 4. Дьяконов В. П., Пеньков А. А. MATLAB и SIMULINK в электроэнергетике. Справочник. М.: Горячая линия – Телеком, 2009.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 9 '2014


Реклама


Реклама


®

Реклама

Реклама

www.facebook.com/platan.components


Компоненты и технологии

C o m p o n e n t s www.kit-e.ru

&

Te c h n o l o g i e s

№ 9 ’2014 (сентябрь)

ISSN 2079-6811

№ 9 ’2014

Микросхемы ПЛИС Speedster22i от Achronix

сентябрь

Оптимизация энергопотребления устройств на базе EFM32 Wonder Gecko

Components & Technologies Реклама

Реклама

Объемные или тонкопленочные термоэлектрические модули

Проектирование фильтров в FilterPro от Texas Instruments


Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.